task_id
stringlengths 5
11
| query_token_num
int64 151
4.39k
| Engineering Domain
stringclasses 9
values | prompt
stringlengths 640
112k
| contributor
stringlengths 8
50
|
---|---|---|---|---|
RS_02 | 287 | Mechanical Systems | I have attached a file SetupFile.json. SetupFile.json includes parameters of the car in the format
{
"setupName" : "Gp2Dummy",
"mcar" : 728,
"clt" : 3.1,
"cx" : 1.0,
"afrcar" : 1.0,
"mbrk" : 7000,
"gripx" : 1.15,
"gripy" : 1.40,
"loadEff" : 0.10,
"rtyre" : 0.32,
"rGearRat" : [10.0,7.8,6.1,7.8,5.2,4.5,4.0],
"reff" : 0.95,
"EngNm" : [200,300,430,380],
"EngRpm" : [0,3000,7000,10000],
"rho" : 1.22
}
#Task 1
Compute the maximum possible acceleration the car can achieve.
#Task 2
Compute the maximum possible deceleration the car can achieve under braking.
Please use consistent SI units and represent acceleration and deceleration in m/s^2. | Rushabh Prasad Shetty |
WJ_01 | 1,337 | Signal Processing | Task Description
Consider an image denoising task where a grayscale image is corrupted by additive noise.
The image is represented as a NumPy array in Python. The goal is to design a filter pipeline using a Large Language Model (LLM)
that reads the noisy image and returns a denoised version 'filtered_img'
The input image 'img' is available as a NumPy array and you will get the image encoded using base64 method.
You need to analyze the type of noise added into the image carefully. If only one type of noise is added maybe one or two carefully selected filters which are specially designed for the noise is enough.
If the image is corrupted by a mixture of different noise task will be complicated. One to two filters may not be enough so
you may need to use a series of filters. No prior knowledge is given on the exact noise parameters.
Explore and combine **a diverse set of filters** available in OpenCV when the task is complicated. Do not just simply rely on gaussian and median filters when you think they are not sufficient, try more filters.
Feel free to use simple function deisgn when you think that the noise is simple and one or two single filters such as gaussian filter or median filter are enough to finish the task. In this case, do not make the design complicated,
if a single filter may work, just use a single filter.
Make sure the generated code is executable so you must carefully review your code after you finish the function.
Input image is a color image so the output image should also be a color image with shape (3, 512, 512).
Task
Design a sequence of filters to denoise the input image. The LLM should:
1. Read and analyze the data in the image. If there's only a single type of noise, tell me the name and if there's a mixture of different noise list the types of noise.
2. Review common types of noise, their characteristic and their filter strateg. Explain the filtering strategy: list each filter to be applied in order, along with the motivation for its use (e.g., remove salt-and-pepper noise, preserve edges while reducing Gaussian noise).
3. Specify filter parameters: for each filter, clearly define its parameters (e.g., kernel size, sigma, threshold).
4. Generate executable code: write a Python function named denoise_image that takes 'img' as input, applies the filter pipeline step by step using standard Python libraries (e.g., NumPy, OpenCV, SciPy), and outputs the denoised image in the variable 'filtered_img'.
The function must be a single Python code block, like this:
def denoise_image(noisy_img):
# your code
return filtered_img
When I evaluate the results, I focuses on how much information you can recover from the noisy image
and I will use MSE and PSNR as matrics. So please denoise the image as much as possible while keeping the original detailed informarion and texture.
Here shows how I will evaluate your response by running the function you provide:
def evaluate_llm_response(llm_response):
"""
Evaluate the image filtering code returned by the LLM.
Load the only image in 'images', denoise it using LLM code, save result to 'results' folder,
and compute MSE and PSNR using a known reference (if needed).
"""
confidence = 100 # Confidence score assigned to the evaluation
# === Step 1: Locate the only image in 'images' ===
input_folder = 'images'
image_files = [f for f in os.listdir(input_folder)
if f.lower().endswith(('.png', '.jpg', '.jpeg'))]
if len(image_files) != 1:
raise ValueError("The 'images' folder must contain exactly one image.")
image_name = image_files[0]
image_path = os.path.join(input_folder, image_name)
noisy_img = cv2.imread(image_path)
original_img = cv2.imread('original_image.png')
if noisy_img is None:
raise IOError(f"Failed to read image: {image_path}")
# === Step 2: Execute LLM code to perform denoising ===
function_code = llm_response.config.function_code
with open("function.txt", "w", encoding="utf-8") as file:
file.write(function_code)
exec_globals = {'np': np, 'cv2': cv2, 'img_noisy': noisy_img}
exec(function_code, exec_globals)
filtered_img = exec_globals['denoise_image'](noisy_img)
# === Step 3: Save result to 'results' folder ===
os.makedirs('results', exist_ok=True)
base_name, ext = os.path.splitext(image_name)
output_path = os.path.join('results', f"{base_name}_filtered{ext}")
cv2.imwrite(output_path, filtered_img)
# === Step 4: Evaluation step (optional) ===
# Since we don't have a clean original image here, we cannot compute real MSE/PSNR.
# You can skip this part or use dummy values if reference is unavailable.
mse = mean_squared_error(original_img.flatten(), filtered_img.flatten())
psnr = cv2.PSNR(original_img, filtered_img)
passed = mse < 100
MSE_best = 0
MSE_worst = 500
PSNR_best = 40
PSNR_worst = 10
w_psnr = 0.7
w_mse = 0.3
mse_norm = max(0, min(1, (MSE_worst - mse) / (MSE_worst - MSE_best)))
psnr_norm = max(0, min(1, (psnr - PSNR_worst) / (PSNR_best - PSNR_worst)))
score = 100 * (w_mse * mse_norm + w_psnr * psnr_norm)
metrics = {"mse": mse, "psnr": psnr}
print(score)
# print(llm_response.config.denoising_strategy)
details = {
"strategy": llm_response.config.denoising_strategy,
"score": metrics,
"denoising function": function_code
}
return passed, details, score, confidence | Weijie Liang |
RK_02 | 202 | Structure Design | ## Task Description
This is a mulitple design load problem.
Design domain is a 2D rectangular region in cartesian coordinate, with vertices at (0,0), (6,0), (6,1), (0,1).
Vertically downward point loads are applied at (2,1) & (4,1). Pin support is at (0,0) and roller support is at (6,0).
Lx = 6 and Ly = 1.
The filter radius is R = 0.03*max(Lx,Ly).
The filter exponent is 3.
---
### Task
Your task is to:
- Obtain a topology optimized design that has minimum structural compliance and volume fraction not exceeding 0.25.
- Given the optimized design, output the corresponding minimum structural compliance, named C_y_hat, and also its volume fraction, named vf, in numerical numbers. Note that vf is in the range of [0,1].
| Rahul Kundu, Yilan Jiang |
AV_03 | 196 | Signal Processing | ## Task Description
In this task, you are required to design a system to downsample a digital audio signal from 48kHz to 8kHz. Before downsampling, we need an anti-aliasing filter. Design this filter.
### Design Constraints
The input signal is sampled at 48kHz.
The output signal is sampled at 8kHz.
The useful frequency bandwidth of the original signal is between 0 and 3.5kHz, and it must be preserved with minimal distortion (Attenuation less than 3dB, Ripple amplitude less than 3dB)
You must ensure that the useful frequency bandwidth is unaffected by aliasing. Thus, determine the beginning frequency of the stopband.
Ensure that your filter is FIR.
### Response Specifications
Provide the following information as your response.
- The filter order
- A list of the filter's coefficients
- The beginning frequency of the stopband
- The decimation factor | Aditya Venkatesh |
RS_03 | 296 | Mechanical Systems | I have attached a file SetupFile.json. SetupFile.json includes parameters of the car in the format
{
"setupName" : "Gp2Dummy",
"mcar" : 728,
"clt" : 3.1,
"cx" : 1.0,
"afrcar" : 1.0,
"mbrk" : 7000,
"gripx" : 1.15,
"gripy" : 1.40,
"loadEff" : 0.10,
"rtyre" : 0.32,
"rGearRat" : [10.0,7.8,6.1,7.8,5.2,4.5,4.0],
"reff" : 0.95,
"EngNm" : [200,300,430,380],
"EngRpm" : [0,3000,7000,10000],
"rho" : 1.22
}
#Task
Compute the maximum possible load on the neck of the driver when the car is cornering. Assume the mass of the head to be 5kg and the mass of the helmet equal to 2kg.
Please use consistent SI units and compute the load in Newtons (N). | Rushabh Prasad Shetty |
XY_01 | 1,715 | Digital Hardware Design | # Tetris Block ROM Analysis
## Background
You are working with a hardware implementation of a Tetris game. The game uses a ROM (Read-Only Memory) to store the shapes of different Tetris blocks (tetrominoes) in various rotational states. Each tetromino is represented as a 4x4 grid of bits, where '1' indicates a filled cell and '0' indicates an empty cell.
## Font ROM Data
The ROM contains the following tetromino patterns:
1. I-tetromino (straight piece):
- Rotation 0: [[0,0,0,0], [1,1,1,1], [0,0,0,0], [0,0,0,0]]
- Rotation 1: [[0,1,0,0], [0,1,0,0], [0,1,0,0], [0,1,0,0]]
- Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,1], [0,0,0,0]]
- Rotation 3: [[0,0,1,0], [0,0,1,0], [0,0,1,0], [0,0,1,0]]
2. O-tetromino (square piece):
- Rotation 0: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]]
- Rotation 1: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]]
- Rotation 2: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]]
- Rotation 3: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]]
3. T-tetromino:
- Rotation 0: [[0,0,0,0], [0,1,0,0], [1,1,1,0], [0,0,0,0]]
- Rotation 1: [[0,0,0,0], [0,1,0,0], [0,1,1,0], [0,1,0,0]]
- Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,0], [0,1,0,0]]
- Rotation 3: [[0,0,0,0], [0,1,0,0], [1,1,0,0], [0,1,0,0]]
4. L-tetromino:
- Rotation 0: [[0,0,0,0], [0,0,1,0], [1,1,1,0], [0,0,0,0]]
- Rotation 1: [[0,0,0,0], [0,1,0,0], [0,1,0,0], [0,1,1,0]]
- Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,0], [1,0,0,0]]
- Rotation 3: [[0,0,0,0], [1,1,0,0], [0,1,0,0], [0,1,0,0]]
5. J-tetromino:
- Rotation 0: [[0,0,0,0], [1,0,0,0], [1,1,1,0], [0,0,0,0]]
- Rotation 1: [[0,0,0,0], [0,1,1,0], [0,1,0,0], [0,1,0,0]]
- Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,0], [0,0,1,0]]
- Rotation 3: [[0,0,0,0], [0,1,0,0], [0,1,0,0], [1,1,0,0]]
6. Z-tetromino:
- Rotation 0: [[0,0,0,0], [1,1,0,0], [0,1,1,0], [0,0,0,0]]
- Rotation 1: [[0,0,0,0], [0,0,1,0], [0,1,1,0], [0,1,0,0]]
- Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,0,0], [0,1,1,0]]
- Rotation 3: [[0,0,0,0], [0,1,0,0], [1,1,0,0], [1,0,0,0]]
7. S-tetromino:
- Rotation 0: [[0,0,0,0], [0,1,1,0], [1,1,0,0], [0,0,0,0]]
- Rotation 1: [[0,0,0,0], [0,1,0,0], [0,1,1,0], [0,0,1,0]]
- Rotation 2: [[0,0,0,0], [0,0,0,0], [0,1,1,0], [1,1,0,0]]
- Rotation 3: [[0,0,0,0], [1,0,0,0], [1,1,0,0], [0,1,0,0]]
## Task Description
You are given a SystemVerilog module called `font_rom` that contains the ROM definitions for all seven standard Tetris pieces (I, O, T, L, J, Z, S) in their four possible rotational states. Your task is to:
1. Analyze the ROM content to identify and extract specific tetromino shapes
2. Generate visual representations of requested tetrominoes
Please analyze the following tetromino:
Tetromino: I
Rotation: 1
## Requirements
1. Extract the bit pattern for a specified tetromino and rotation
2. Convert the bit pattern to a visual representation using characters (e.g., '#' for filled cells, '.' for empty cells)
## Input Format
- Tetromino type: specified as a string ('I', 'O', 'T', 'L', 'J', 'Z', 'S')
- Rotation: specified as an integer (0, 1, 2, 3) representing the rotational state
## Output Format
Your solution should provide:
1. The extracted bit pattern as a 4x4 grid
2. A visual representation of the pattern
## Example Response
Input: Z-tetromino, Rotation 0
Output :
"config": {
"tetromino_type": "Z",
"rotation": 0
},
"tetromino_pattern": {
"bit_grid": [
[0, 0, 0, 0],
[1, 1, 0, 0],
[0, 1, 1, 0],
[0, 0, 0, 0]
],
"visual": [
"....",
"##..",
".##.",
"...."
]
}
} | XiangYi Kong |
AB_01 | 741 | Signal Processing | ## Task Description
Radiomics involves extracting quantitative features from medical images. This task requires calculating statistical and texture features for pixels within a specific polygonal region of interest (ROI) inside a given image patch.
**Given Data:**
1. **Image Patch Intensities** (as a 10x10 matrix representing tissue):
```
[[15, 18, 20, 23, 26, 29, 32, 35, 38, 41],
[17, 20, 23, 26, 29, 32, 35, 38, 41, 44],
[19, 22, 25, 28, 31, 34, 37, 40, 43, 46],
[21, 24, 27, 30, 33, 36, 39, 42, 45, 48],
[23, 26, 29, 32, 35, 38, 41, 44, 47, 50],
[25, 28, 31, 34, 37, 40, 43, 46, 49, 52],
[27, 30, 33, 36, 39, 42, 45, 48, 51, 54],
[29, 32, 35, 38, 41, 44, 47, 50, 53, 56],
[31, 34, 37, 40, 43, 46, 49, 52, 55, 58],
[33, 36, 39, 42, 45, 48, 51, 54, 57, 60]]
```
2. **Polygon ROI Vertices** (defining the boundary within the patch, using 0-based indexing where (0,0) is the top-left pixel):
`(2,2), (2,7), (7,7), (7,2)`
*(Note: These vertices define the boundary edges of the polygon)*
**Your Task:**
1. Identify all pixel coordinates $(row, column)$ that lie strictly *inside* the polygon defined by the given vertices. (Assume integer coordinates represent pixel centers).
2. Extract the intensity values of these interior pixels.
3. Calculate the following **first-order statistics** for the extracted intensity values:
* Mean
* Variance (use sample variance, N-1 denominator)
* Skewness
* Kurtosis (Fisher's definition, i.e., excess kurtosis)
4. Construct the Gray-Level Co-occurrence Matrix (GLCM) for the *interior pixels only*, using horizontal adjacency (offset `[0, 1]`, distance=1, angle=0 degrees). Assume pixel intensities are used directly without quantization. The GLCM should only consider pairs where both pixels are inside the polygon. Normalize the GLCM so that its elements sum to 1.
5. Calculate the **Contrast** feature from the normalized GLCM using the formula: $Contrast = \sum_{i,j} |i-j|^2 p(i,j)$, where $p(i,j)$ is the normalized GLCM value at intensity level pair $(i,j)$.
Report the calculated float values for Mean, Variance, Skewness, Kurtosis, and GLCM Contrast. Provide numerical results rounded to 4 decimal places. | Ayush Barik |
AV_02 | 198 | Signal Processing | ## Task Description
In this task, you are required to design a digital IIR filter, used to eliminate a particular resonant frequency that is affecting a sensor reading.
### Design Constraints
The sensor signal is being sampled at a rate of 2000Hz.
The resonant frequency you should eliminate is 120Hz.
Your filter should strongly attenuate the resonant frequency. (Attenuation more than 40dB)
Your filter should minimally affect frequencies more than 5Hz below the resonant frequency. (Attenuation less than 3dB at 115Hz)
Your filter should minimally affect frequencies more than 5Hz above the resonant frequency. (Attenuation less than 3dB at 125Hz)
### Response Specifications
Provide the following information as your response.
- The filter order
- A list of the filter's numerator coefficients (Provide ALL of them)
- A list of the filter's denominator coefficients (Provide ALL of them) | Aditya Venkatesh |
libin2_01 | 338 | Operating System Design | ## Task Description
You are an operating‑system architect tasked with designing a unified multi‑level page‑table scheme that must satisfy the following two physical‑memory scenarios:
Device | Physical Memory | Max Page‑Table Memory Overhead | Required Avg. Address Translation Time | TLB Access Time | Per‑Level PTE Access Time
---------- | --------------- | ------------------------------ | -------------------------------------- | --------------- | --------------------------
Device A | 150 MB | ≤ 320 KB | ≤ 150 ns | 20 ns | 100 ns
Device B | 2 GB | ≤ 4.05 MB | ≤ 150 ns | 20 ns | 100 ns
Additionally, we specify:
1. Virtual addresses are 40 bits (byte‑addressable).
2. Each PTE is 8 bytes.
3. The TLB hit rate h as a function of page size is:
h(page_size) = exp(-0.1542*(page_size/1024 - 5.82)**2)
Please design, for Device A and Device B, a multi‑level page‑table structure including:
1. page_size: page size (bytes)
2. levels: number of levels
3. entries_per_level: list of entries per level
And compute:
- page_table_memory: total page‑table memory overhead (bytes), assuming on‑demand allocation only for mapped regions
- avg_translation_time: average address‑translation time (ns), including only TLB access and PTE accesses | Libin Wang |
RK_03 | 188 | Structure Design | ## Task Description
This is a michell structure.
Design domain is a 2D rectangular region in cartesian coordinate, with vertices at (0,0), (2,0), (2,1), (0,1).
A vertically downward point load is applied at (1,0.5). Pin support is at (0,0.5) and roller support is at (2,0.5).
The filter radius is R = 0.03*max(Lx,Ly).
The filter exponent is 3.
---
### Task
Your task is to:
- Obtain a topology optimized design that has minimum structural compliance and volume fraction not exceeding 0.15.
- Given the optimized design, output the corresponding minimum structural compliance, named C_y_hat, and also its volume fraction, named vf, in numerical numbers. Note that vf is in the range of [0,1].
| Rahul Kundu, Yilan Jiang |
RK_04 | 186 | Structure Design | ## Task Description
Design domain is bounded by following vertices (-1,-1), (1,-1), (1,1), (-1,1).
A sharp pre-crack is present in the domain, along the line (0,0) to (0,1).
Horizontal loads are applied at (-1,1) along -ve x-axis and at (1,1) along +ve x-axis.
The filter radius is R = 0.03*max(Lx,Ly).
The filter exponent is 3.
---
### Task
Your task is to:
- Design a structure that has minimum value of maximum stress such that volume fraction does not exceed 0.25.
- Given the optimized design, output the corresponding maximum stress, named s_hat, and also its volume fraction, named vf, in numerical numbers. Note that vf is in the range of [0,1].
| Rahul Kundu, Yilan Jiang |
ZH_02 | 225 | Mechanical Systems | ## Task Description
You are tasked with designing a heat shield for a small spacecraft re-entering Earth’s atmosphere from low Earth orbit (LEO). The spacecraft follows a ballistic reentry trajectory. Your goal is to determine an appropriate heat shield radius (in meters) that satisfies the following performance constraints:
- The peak heat flux on the heat shield must not exceed **1000 W/cm²**
- The total heat load must not exceed **100 MJ/m²**
- The peak deceleration must not exceed **30g** (where 1g = 9.81 m/s²)
The spacecraft is spherical with the following properties:
- by experience it reaches highest heat at 40km above sea level
- Initial velocity: 7.8 km/s
- at 40km the speed is about 5500m/s and lose about half the heat
- Entry altitude: 400 km
- Flight path angle: –6 degrees
- Mass: 250 kg
- Drag coefficient: 0.47
Your output should propose a value of the heat shield radius that satisfies all three constraints.
| Zhihua Gong |
YX_01 | 622 | Robotics | ## Task Description
You are given a **2D sparse costmap**, where each occupied cell is represented as a triplet `(x, y, cost)`. This costmap follows the specifications below:
- The costmap spans an area that is **120 meters wide** and **100 meters long**.
- Each grid cell is **1 meter × 1 meter**.
- The **bottom-left corner** of the costmap corresponds to world coordinates `(-80, -30)`.
- The costmap is represented using a **sparse format**, listing only the grid cells where cost = 1 (i.e., obstacles). Cells with cost = 0 (free space) are **not included**.
Your goal is to perform **path planning** for an autonomous vehicle navigating this 2D environment. You should use the **Hybrid A\*** algorithm to compute collision-free paths that satisfy nonholonomic motion constraints.
You will first complete a simple geometry-based task (Task 1), and then use the given costmap data below to perform two separate path planning tasks.
Below is the detailed data for the 2D costmap:
-73,-15,1
-73,-14,1
-72,-23,1
-72,-20,1
-72,-19,1
-72,-18,1
-72,-15,1
-72,-14,1
-72,-10,1
-72,-9,1
-72,-8,1
-71,-22,1
-71,-21,1
-71,-20,1
-71,-19,1
-71,-18,1
-71,-17,1
-71,-16,1
-71,-15,1
-71,-14,1
-71,-13,1
-71,-11,1
-71,-10,1
-71,-9,1
-71,-8,1
-71,-7,1
-70,-23,1
-70,-22,1
-70,-21,1
-70,-20,1
-70,-19,1
-70,-18,1
-70,-17,1
-70,-16,1
-70,-15,1
-70,-14,1
-70,-13,1
-70,-12,1
-70,-11,1
-70,-10,1
-70,-8,1
-70,-7,1
-70,0,1
-69,-23,1
-69,-20,1
-69,-19,1
-69,-18,1
-69,-17,1
-69,-16,1
-69,-15,1
-69,-14,1
-69,-13,1
-69,-12,1
-69,-11,1
-69,-10,1
-69,-9,1
-69,-8,1
-69,-7,1
-69,-6,1
-69,-5,1
-69,-4,1
-69,-3,1
-69,-2,1
-69,-1,1
-69,0,1
-68,-19,1
-68,-18,1
-68,-17,1
-68,-16,1
-68,-15,1
-68,-14,1
-68,-13,1
-68,-12,1
-68,-11,1
-68,-10,1
-68,-9,1
-68,-8,1
-68,-7,1
-68,-6,1
-68,-5,1
-68,-4,1
-68,-3,1
-68,-2,1
-68,-1,1
-68,0,1
-68,1,1
-68,2,1
-68,3,1
-68,5,1
-68,6,1
-68,7,1
-68,8,1
-67,-14,1
-67,-12,1
-67,-11,1
-67,-10,1
-67,-9,1
-67,-8,1
-67,-7,1
-67,-6,1
-67,-5,1
-67,-4,1
-67,-3,1
-67,-2,1
-67,-1,1
-67,0,1
-67,1,1
-67,2,1
-67,3,1
-67,4,1
-67,5,1
-67,6,1
-67,7,1
-67,8,1
-67,9,1
-67,10,1
-67,11,1
-66,-12,1
-66,-10,1
-66,-9,1
-66,-8,1
-66,-7,1
-66,-6,1
-66,-5,1
-66,-4,1
-66,-3,1
-66,-2,1
-66,-1,1
-66,0,1
-66,1,1
-66,2,1
-66,3,1
-66,4,1
-66,5,1
-66,6,1
-66,7,1
-66,8,1
-66,9,1
-66,10,1
-66,11,1
-66,12,1
-66,13,1
-66,14,1
-65,-6,1
-65,-5,1
-65,-4,1
-65,-3,1
-65,-2,1
-65,-1,1
-65,0,1
-65,1,1
-65,2,1
-65,3,1
-65,4,1
-65,5,1
-65,6,1
-65,7,1
-65,8,1
-65,9,1
-65,10,1
-65,11,1
-65,12,1
-65,13,1
-65,14,1
-65,15,1
-65,16,1
-65,17,1
-65,18,1
-65,20,1
-64,-3,1
-64,-1,1
-64,0,1
-64,1,1
-64,2,1
-64,3,1
-64,4,1
-64,5,1
-64,6,1
-64,7,1
-64,8,1
-64,9,1
-64,10,1
-64,11,1
-64,12,1
-64,13,1
-64,14,1
-64,15,1
-64,16,1
-64,17,1
-64,18,1
-64,19,1
-64,20,1
-64,21,1
-64,22,1
-63,2,1
-63,3,1
-63,4,1
-63,5,1
-63,6,1
-63,7,1
-63,8,1
-63,9,1
-63,10,1
-63,11,1
-63,12,1
-63,13,1
-63,14,1
-63,15,1
-63,16,1
-63,17,1
-63,18,1
-63,19,1
-63,20,1
-63,21,1
-63,22,1
-63,23,1
-62,6,1
-62,7,1
-62,8,1
-62,9,1
-62,10,1
-62,11,1
-62,12,1
-62,13,1
-62,14,1
-62,15,1
-62,16,1
-62,17,1
-62,18,1
-62,19,1
-62,20,1
-62,21,1
-62,22,1
-62,23,1
-62,24,1
-62,25,1
-62,26,1
-62,27,1
-62,28,1
-62,29,1
-62,30,1
-61,11,1
-61,12,1
-61,13,1
-61,14,1
-61,15,1
-61,16,1
-61,17,1
-61,18,1
-61,19,1
-61,20,1
-61,21,1
-61,22,1
-61,23,1
-61,24,1
-61,25,1
-61,26,1
-61,27,1
-61,28,1
-61,29,1
-61,30,1
-61,31,1
-61,34,1
-60,15,1
-60,16,1
-60,17,1
-60,18,1
-60,19,1
-60,20,1
-60,21,1
-60,22,1
-60,23,1
-60,24,1
-60,25,1
-60,26,1
-60,27,1
-60,28,1
-60,29,1
-60,30,1
-60,31,1
-60,32,1
-60,33,1
-60,34,1
-60,35,1
-60,36,1
-59,20,1
-59,21,1
-59,22,1
-59,23,1
-59,24,1
-59,25,1
-59,26,1
-59,27,1
-59,28,1
-59,29,1
-59,30,1
-59,31,1
-59,32,1
-59,33,1
-59,34,1
-59,35,1
-59,36,1
-59,38,1
-59,39,1
-59,40,1
-59,41,1
-58,25,1
-58,26,1
-58,27,1
-58,28,1
-58,29,1
-58,30,1
-58,31,1
-58,32,1
-58,33,1
-58,34,1
-58,35,1
-58,36,1
-58,37,1
-58,38,1
-58,39,1
-58,40,1
-58,41,1
-58,42,1
-58,43,1
-58,44,1
-58,45,1
-57,30,1
-57,31,1
-57,32,1
-57,33,1
-57,34,1
-57,35,1
-57,36,1
-57,37,1
-57,38,1
-57,39,1
-57,40,1
-57,41,1
-57,42,1
-57,43,1
-57,44,1
-57,45,1
-57,46,1
-56,33,1
-56,34,1
-56,35,1
-56,36,1
-56,37,1
-56,38,1
-56,39,1
-56,40,1
-56,41,1
-56,42,1
-56,43,1
-56,44,1
-56,45,1
-56,46,1
-56,47,1
-56,48,1
-56,49,1
-55,38,1
-55,39,1
-55,40,1
-55,41,1
-55,42,1
-55,43,1
-55,44,1
-55,45,1
-55,46,1
-55,47,1
-55,48,1
-55,49,1
-55,50,1
-55,52,1
-54,42,1
-54,43,1
-54,44,1
-54,45,1
-54,46,1
-54,47,1
-54,48,1
-54,49,1
-54,50,1
-54,51,1
-54,52,1
-54,53,1
-53,4,1
-53,5,1
-53,44,1
-53,45,1
-53,46,1
-53,47,1
-53,48,1
-53,49,1
-53,50,1
-53,51,1
-53,52,1
-53,53,1
-53,54,1
-53,55,1
-52,2,1
-52,3,1
-52,4,1
-52,5,1
-52,6,1
-52,7,1
-52,8,1
-52,9,1
-52,46,1
-52,47,1
-52,48,1
-52,49,1
-52,50,1
-52,51,1
-52,52,1
-52,53,1
-52,54,1
-52,55,1
-52,56,1
-51,9,1
-51,10,1
-51,11,1
-51,12,1
-51,49,1
-51,50,1
-51,51,1
-51,52,1
-51,53,1
-51,54,1
-51,55,1
-51,56,1
-50,12,1
-50,13,1
-50,14,1
-50,15,1
-50,16,1
-50,50,1
-50,51,1
-50,52,1
-50,53,1
-50,54,1
-50,55,1
-50,56,1
-50,57,1
-49,14,1
-49,15,1
-49,16,1
-49,17,1
-49,18,1
-49,19,1
-49,20,1
-49,51,1
-49,52,1
-49,53,1
-49,54,1
-49,55,1
-49,56,1
-49,57,1
-48,20,1
-48,21,1
-48,22,1
-48,23,1
-48,24,1
-48,52,1
-48,53,1
-48,54,1
-48,55,1
-48,56,1
-48,57,1
-47,24,1
-47,25,1
-47,26,1
-47,27,1
-47,28,1
-47,54,1
-47,55,1
-47,56,1
-47,57,1
-47,58,1
-47,59,1
-46,27,1
-46,28,1
-46,29,1
-46,30,1
-46,31,1
-46,54,1
-46,55,1
-46,56,1
-46,57,1
-46,58,1
-46,59,1
-46,60,1
-45,-21,1
-45,-20,1
-45,-19,1
-45,-18,1
-45,30,1
-45,32,1
-45,33,1
-45,34,1
-45,35,1
-45,55,1
-45,56,1
-45,57,1
-45,58,1
-45,59,1
-45,60,1
-44,-21,1
-44,-20,1
-44,-19,1
-44,-18,1
-44,-17,1
-44,-15,1
-44,35,1
-44,36,1
-44,37,1
-44,38,1
-44,39,1
-44,56,1
-44,57,1
-44,58,1
-44,59,1
-44,60,1
-43,-21,1
-43,-20,1
-43,-19,1
-43,-18,1
-43,-17,1
-43,-15,1
-43,39,1
-43,40,1
-43,41,1
-43,42,1
-43,43,1
-43,56,1
-43,57,1
-43,58,1
-43,59,1
-42,-22,1
-42,-21,1
-42,-20,1
-42,-19,1
-42,-18,1
-42,-17,1
-42,-16,1
-42,-6,1
-42,-5,1
-42,42,1
-42,43,1
-42,44,1
-42,45,1
-42,46,1
-42,47,1
-42,56,1
-42,57,1
-42,58,1
-42,59,1
-42,60,1
-42,61,1
-41,-22,1
-41,-21,1
-41,-20,1
-41,-19,1
-41,-18,1
-41,-17,1
-41,-16,1
-41,-6,1
-41,-5,1
-41,-4,1
-41,-3,1
-41,46,1
-41,47,1
-41,48,1
-41,49,1
-41,50,1
-41,51,1
-41,52,1
-41,56,1
-41,57,1
-41,58,1
-41,59,1
-41,60,1
-41,61,1
-41,62,1
-40,-22,1
-40,-21,1
-40,-20,1
-40,-19,1
-40,-18,1
-40,-17,1
-40,-16,1
-40,-6,1
-40,-5,1
-40,-4,1
-40,-3,1
-40,-2,1
-40,-1,1
-40,50,1
-40,51,1
-40,52,1
-40,53,1
-40,57,1
-40,58,1
-40,59,1
-40,60,1
-40,61,1
-39,-22,1
-39,-21,1
-39,-17,1
-39,-16,1
-39,-6,1
-39,-5,1
-39,-4,1
-39,-3,1
-39,-2,1
-39,-1,1
-39,0,1
-39,1,1
-39,2,1
-39,3,1
-39,54,1
-39,58,1
-39,59,1
-39,60,1
-39,61,1
-39,62,1
-38,-22,1
-38,-16,1
-38,-5,1
-38,-4,1
-38,-3,1
-38,1,1
-38,2,1
-38,3,1
-38,54,1
-38,55,1
-38,56,1
-38,58,1
-38,59,1
-38,60,1
-38,61,1
-38,62,1
-38,63,1
-37,-22,1
-37,-5,1
-37,-4,1
-37,-1,1
-37,0,1
-37,1,1
-37,2,1
-37,3,1
-37,13,1
-37,55,1
-37,56,1
-37,57,1
-37,58,1
-37,59,1
-37,60,1
-37,61,1
-37,62,1
-37,63,1
-36,-3,1
-36,-2,1
-36,11,1
-36,12,1
-36,13,1
-36,14,1
-36,17,1
-36,56,1
-36,57,1
-36,58,1
-36,59,1
-36,60,1
-36,61,1
-36,62,1
-35,-22,1
-35,11,1
-35,12,1
-35,13,1
-35,14,1
-35,16,1
-35,17,1
-35,18,1
-35,19,1
-35,20,1
-35,21,1
-35,56,1
-35,57,1
-35,58,1
-35,59,1
-35,60,1
-35,61,1
-35,62,1
-34,-22,1
-34,-21,1
-34,11,1
-34,12,1
-34,13,1
-34,14,1
-34,16,1
-34,17,1
-34,18,1
-34,19,1
-34,20,1
-34,21,1
-34,22,1
-34,23,1
-34,24,1
-34,51,1
-34,52,1
-34,53,1
-34,54,1
-34,55,1
-34,56,1
-34,57,1
-34,58,1
-34,59,1
-34,60,1
-34,61,1
-34,62,1
-33,-22,1
-33,-21,1
-33,11,1
-33,12,1
-33,13,1
-33,14,1
-33,16,1
-33,18,1
-33,20,1
-33,21,1
-33,22,1
-33,23,1
-33,24,1
-33,51,1
-33,52,1
-33,53,1
-33,54,1
-33,55,1
-33,57,1
-33,58,1
-33,59,1
-33,60,1
-33,61,1
-33,62,1
-32,-22,1
-32,-21,1
-32,13,1
-32,14,1
-32,17,1
-32,19,1
-32,20,1
-32,21,1
-32,22,1
-32,23,1
-32,24,1
-32,50,1
-32,51,1
-32,52,1
-32,53,1
-32,54,1
-32,55,1
-32,57,1
-32,58,1
-32,59,1
-32,60,1
-32,61,1
-32,62,1
-31,-22,1
-31,-21,1
-31,20,1
-31,21,1
-31,22,1
-31,23,1
-31,24,1
-31,26,1
-31,32,1
-31,33,1
-31,34,1
-31,35,1
-31,36,1
-31,51,1
-31,52,1
-31,53,1
-31,54,1
-31,55,1
-31,56,1
-31,57,1
-31,58,1
-31,59,1
-31,60,1
-31,61,1
-31,62,1
-30,19,1
-30,20,1
-30,21,1
-30,22,1
-30,23,1
-30,24,1
-30,25,1
-30,32,1
-30,33,1
-30,34,1
-30,35,1
-30,36,1
-30,37,1
-30,38,1
-30,39,1
-30,40,1
-30,51,1
-30,52,1
-30,53,1
-30,54,1
-30,55,1
-30,56,1
-30,58,1
-30,59,1
-30,60,1
-30,61,1
-30,62,1
-29,20,1
-29,21,1
-29,22,1
-29,23,1
-29,24,1
-29,25,1
-29,26,1
-29,32,1
-29,33,1
-29,34,1
-29,35,1
-29,36,1
-29,37,1
-29,38,1
-29,39,1
-29,40,1
-29,41,1
-29,51,1
-29,52,1
-29,53,1
-29,54,1
-29,55,1
-29,56,1
-29,57,1
-29,58,1
-29,59,1
-29,60,1
-29,61,1
-29,62,1
-28,-22,1
-28,-16,1
-28,19,1
-28,20,1
-28,21,1
-28,22,1
-28,23,1
-28,24,1
-28,25,1
-28,33,1
-28,34,1
-28,35,1
-28,36,1
-28,38,1
-28,39,1
-28,40,1
-28,41,1
-28,51,1
-28,52,1
-28,53,1
-28,54,1
-28,55,1
-28,56,1
-28,58,1
-28,59,1
-28,60,1
-28,61,1
-28,62,1
-27,-22,1
-27,-21,1
-27,-16,1
-27,24,1
-27,33,1
-27,34,1
-27,35,1
-27,36,1
-27,38,1
-27,39,1
-27,40,1
-27,41,1
-27,42,1
-27,51,1
-27,52,1
-27,53,1
-27,54,1
-27,55,1
-27,56,1
-27,57,1
-27,58,1
-27,59,1
-27,60,1
-27,61,1
-27,62,1
-26,-22,1
-26,-21,1
-26,-20,1
-26,-19,1
-26,-18,1
-26,-17,1
-26,-16,1
-26,24,1
-26,33,1
-26,34,1
-26,35,1
-26,36,1
-26,38,1
-26,40,1
-26,41,1
-26,42,1
-26,43,1
-26,50,1
-26,51,1
-26,52,1
-26,53,1
-26,54,1
-26,55,1
-26,56,1
-26,57,1
-26,58,1
-26,59,1
-26,60,1
-26,61,1
-26,62,1
-25,-22,1
-25,-21,1
-25,-19,1
-25,-17,1
-25,-16,1
-25,19,1
-25,20,1
-25,21,1
-25,22,1
-25,23,1
-25,24,1
-25,25,1
-25,32,1
-25,33,1
-25,34,1
-25,35,1
-25,36,1
-25,37,1
-25,38,1
-25,39,1
-25,40,1
-25,41,1
-25,42,1
-25,43,1
-25,51,1
-25,52,1
-25,53,1
-25,54,1
-25,57,1
-25,58,1
-25,59,1
-25,60,1
-25,61,1
-25,62,1
-24,-22,1
-24,-21,1
-24,-19,1
-24,-18,1
-24,-17,1
-24,-16,1
-24,20,1
-24,21,1
-24,22,1
-24,23,1
-24,24,1
-24,25,1
-24,32,1
-24,33,1
-24,34,1
-24,35,1
-24,36,1
-24,37,1
-24,38,1
-24,39,1
-24,40,1
-24,41,1
-24,42,1
-24,43,1
-24,53,1
-24,54,1
-24,55,1
-24,56,1
-24,57,1
-24,58,1
-24,59,1
-24,60,1
-24,61,1
-24,62,1
-23,-20,1
-23,-19,1
-23,-18,1
-23,-17,1
-23,-16,1
-23,19,1
-23,20,1
-23,21,1
-23,22,1
-23,23,1
-23,24,1
-23,25,1
-23,32,1
-23,33,1
-23,34,1
-23,35,1
-23,36,1
-23,37,1
-23,38,1
-23,39,1
-23,40,1
-23,41,1
-23,42,1
-23,51,1
-23,52,1
-23,53,1
-23,54,1
-23,55,1
-23,56,1
-23,57,1
-23,58,1
-23,59,1
-23,60,1
-23,61,1
-23,62,1
-22,-17,1
-22,-16,1
-22,23,1
-22,24,1
-22,35,1
-22,38,1
-22,39,1
-22,40,1
-22,41,1
-22,42,1
-22,50,1
-22,51,1
-22,52,1
-22,53,1
-22,54,1
-22,55,1
-22,56,1
-22,57,1
-22,58,1
-22,59,1
-22,60,1
-22,61,1
-22,62,1
-21,-21,1
-21,-20,1
-21,-19,1
-21,-18,1
-21,-17,1
-21,-16,1
-21,24,1
-21,38,1
-21,39,1
-21,40,1
-21,41,1
-21,42,1
-21,50,1
-21,51,1
-21,52,1
-21,53,1
-21,54,1
-21,55,1
-21,56,1
-21,58,1
-21,59,1
-21,60,1
-21,61,1
-21,62,1
-21,63,1
-20,-22,1
-20,-21,1
-20,-16,1
-20,19,1
-20,20,1
-20,21,1
-20,22,1
-20,23,1
-20,24,1
-20,39,1
-20,40,1
-20,42,1
-20,51,1
-20,52,1
-20,53,1
-20,54,1
-20,55,1
-20,56,1
-20,57,1
-20,58,1
-20,59,1
-20,60,1
-20,61,1
-20,62,1
-20,63,1
-19,-22,1
-19,-21,1
-19,-16,1
-19,19,1
-19,20,1
-19,21,1
-19,22,1
-19,23,1
-19,24,1
-19,25,1
-19,33,1
-19,34,1
-19,41,1
-19,42,1
-19,51,1
-19,52,1
-19,53,1
-19,54,1
-19,55,1
-19,57,1
-19,58,1
-19,59,1
-19,60,1
-19,61,1
-19,62,1
-19,63,1
-18,-22,1
-18,-21,1
-18,19,1
-18,20,1
-18,21,1
-18,22,1
-18,23,1
-18,24,1
-18,25,1
-18,32,1
-18,33,1
-18,34,1
-18,35,1
-18,37,1
-18,39,1
-18,40,1
-18,41,1
-18,51,1
-18,52,1
-18,53,1
-18,54,1
-18,55,1
-18,57,1
-18,58,1
-18,59,1
-18,60,1
-18,61,1
-18,62,1
-17,-22,1
-17,-21,1
-17,-20,1
-17,-6,1
-17,-5,1
-17,-4,1
-17,-3,1
-17,19,1
-17,20,1
-17,21,1
-17,22,1
-17,23,1
-17,24,1
-17,31,1
-17,32,1
-17,33,1
-17,34,1
-17,35,1
-17,36,1
-17,37,1
-17,38,1
-17,39,1
-17,40,1
-17,41,1
-17,42,1
-17,43,1
-17,50,1
-17,51,1
-17,52,1
-17,53,1
-17,54,1
-17,55,1
-17,56,1
-17,57,1
-17,58,1
-17,59,1
-17,60,1
-17,61,1
-17,62,1
-16,-23,1
-16,-22,1
-16,-21,1
-16,-5,1
-16,-4,1
-16,-3,1
-16,-2,1
-16,21,1
-16,22,1
-16,23,1
-16,31,1
-16,32,1
-16,33,1
-16,34,1
-16,35,1
-16,36,1
-16,37,1
-16,38,1
-16,39,1
-16,40,1
-16,41,1
-16,42,1
-16,43,1
-16,49,1
-16,50,1
-16,51,1
-16,52,1
-16,53,1
-16,54,1
-16,55,1
-16,56,1
-16,57,1
-16,58,1
-16,59,1
-16,60,1
-16,61,1
-16,62,1
-15,-6,1
-15,-5,1
-15,-4,1
-15,-3,1
-15,-2,1
-15,20,1
-15,21,1
-15,22,1
-15,23,1
-15,30,1
-15,31,1
-15,32,1
-15,33,1
-15,34,1
-15,35,1
-15,36,1
-15,37,1
-15,38,1
-15,39,1
-15,40,1
-15,41,1
-15,42,1
-15,50,1
-15,51,1
-15,52,1
-15,53,1
-15,54,1
-15,55,1
-15,56,1
-15,57,1
-15,58,1
-15,59,1
-15,60,1
-15,61,1
-15,62,1
-14,19,1
-14,20,1
-14,21,1
-14,22,1
-14,23,1
-14,24,1
-14,33,1
-14,35,1
-14,36,1
-14,38,1
-14,39,1
-14,40,1
-14,52,1
-14,53,1
-14,54,1
-14,55,1
-14,56,1
-14,57,1
-14,58,1
-14,59,1
-14,60,1
-14,61,1
-14,62,1
-13,-22,1
-13,-21,1
-13,20,1
-13,21,1
-13,22,1
-13,23,1
-13,24,1
-13,40,1
-13,41,1
-13,52,1
-13,53,1
-13,54,1
-13,55,1
-13,56,1
-13,57,1
-13,58,1
-13,59,1
-13,60,1
-13,61,1
-13,62,1
-13,63,1
-12,-22,1
-12,-21,1
-12,33,1
-12,34,1
-12,40,1
-12,41,1
-12,42,1
-12,51,1
-12,52,1
-12,53,1
-12,54,1
-12,55,1
-12,56,1
-12,57,1
-12,58,1
-12,59,1
-12,60,1
-12,61,1
-12,62,1
-12,63,1
-11,-22,1
-11,-21,1
-11,19,1
-11,32,1
-11,33,1
-11,34,1
-11,35,1
-11,36,1
-11,37,1
-11,39,1
-11,40,1
-11,41,1
-11,42,1
-11,51,1
-11,52,1
-11,53,1
-11,54,1
-11,55,1
-11,56,1
-11,57,1
-11,58,1
-11,59,1
-11,60,1
-11,61,1
-11,62,1
-11,63,1
-10,-18,1
-10,-17,1
-10,-1,1
-10,0,1
-10,1,1
-10,14,1
-10,15,1
-10,16,1
-10,19,1
-10,32,1
-10,33,1
-10,34,1
-10,35,1
-10,36,1
-10,37,1
-10,38,1
-10,39,1
-10,40,1
-10,41,1
-10,42,1
-10,51,1
-10,52,1
-10,53,1
-10,54,1
-10,55,1
-10,56,1
-10,57,1
-10,58,1
-10,59,1
-10,60,1
-10,61,1
-10,62,1
-10,63,1
-9,-19,1
-9,-18,1
-9,-17,1
-9,-16,1
-9,-7,1
-9,-6,1
-9,-5,1
-9,-4,1
-9,-3,1
-9,-2,1
-9,-1,1
-9,0,1
-9,1,1
-9,2,1
-9,13,1
-9,14,1
-9,15,1
-9,16,1
-9,17,1
-9,18,1
-9,19,1
-9,20,1
-9,21,1
-9,22,1
-9,23,1
-9,24,1
-9,32,1
-9,33,1
-9,34,1
-9,35,1
-9,36,1
-9,37,1
-9,38,1
-9,39,1
-9,40,1
-9,41,1
-9,42,1
-9,43,1
-9,56,1
-9,57,1
-9,58,1
-9,59,1
-9,60,1
-9,61,1
-9,62,1
-9,63,1
-8,-19,1
-8,-18,1
-8,-17,1
-8,-16,1
-8,-15,1
-8,-7,1
-8,-6,1
-8,-5,1
-8,-4,1
-8,-3,1
-8,-2,1
-8,-1,1
-8,0,1
-8,1,1
-8,2,1
-8,13,1
-8,14,1
-8,15,1
-8,16,1
-8,17,1
-8,18,1
-8,19,1
-8,20,1
-8,21,1
-8,22,1
-8,23,1
-8,24,1
-8,31,1
-8,32,1
-8,33,1
-8,34,1
-8,35,1
-8,36,1
-8,38,1
-8,39,1
-8,40,1
-8,41,1
-8,42,1
-8,43,1
-8,55,1
-8,56,1
-8,57,1
-8,58,1
-8,59,1
-8,60,1
-8,61,1
-8,62,1
-8,63,1
-7,-20,1
-7,-18,1
-7,-17,1
-7,-16,1
-7,-15,1
-7,-7,1
-7,-6,1
-7,-5,1
-7,-4,1
-7,-3,1
-7,-2,1
-7,-1,1
-7,0,1
-7,1,1
-7,2,1
-7,3,1
-7,13,1
-7,14,1
-7,15,1
-7,16,1
-7,17,1
-7,18,1
-7,19,1
-7,20,1
-7,21,1
-7,22,1
-7,23,1
-7,24,1
-7,31,1
-7,32,1
-7,33,1
-7,34,1
-7,35,1
-7,36,1
-7,37,1
-7,38,1
-7,39,1
-7,40,1
-7,41,1
-7,42,1
-7,55,1
-7,56,1
-7,57,1
-7,58,1
-7,59,1
-7,60,1
-7,61,1
-7,62,1
-6,-24,1
-6,-22,1
-6,-21,1
-6,-18,1
-6,-17,1
-6,-16,1
-6,-7,1
-6,-6,1
-6,-5,1
-6,-4,1
-6,-3,1
-6,-2,1
-6,-1,1
-6,0,1
-6,1,1
-6,2,1
-6,3,1
-6,14,1
-6,15,1
-6,16,1
-6,18,1
-6,19,1
-6,20,1
-6,21,1
-6,22,1
-6,32,1
-6,33,1
-6,34,1
-6,35,1
-6,36,1
-6,37,1
-6,38,1
-6,39,1
-6,40,1
-6,41,1
-6,56,1
-6,57,1
-6,58,1
-6,59,1
-6,60,1
-6,61,1
-6,62,1
-6,63,1
-5,-23,1
-5,-22,1
-5,-21,1
-5,-18,1
-5,-6,1
-5,-5,1
-5,31,1
-5,32,1
-5,33,1
-5,34,1
-5,35,1
-5,36,1
-5,37,1
-5,38,1
-5,40,1
-5,41,1
-5,56,1
-5,57,1
-5,58,1
-5,59,1
-5,60,1
-5,61,1
-5,62,1
-5,63,1
-4,-23,1
-4,-22,1
-4,-21,1
-4,-6,1
-4,22,1
-4,31,1
-4,32,1
-4,33,1
-4,34,1
-4,35,1
-4,36,1
-4,37,1
-4,38,1
-4,39,1
-4,40,1
-4,41,1
-4,42,1
-4,43,1
-4,56,1
-4,57,1
-4,58,1
-4,59,1
-4,60,1
-4,61,1
-4,62,1
-3,-24,1
-3,-23,1
-3,-22,1
-3,18,1
-3,19,1
-3,20,1
-3,21,1
-3,22,1
-3,23,1
-3,24,1
-3,25,1
-3,33,1
-3,34,1
-3,35,1
-3,36,1
-3,37,1
-3,38,1
-3,39,1
-3,40,1
-3,41,1
-3,42,1
-3,43,1
-3,56,1
-3,57,1
-3,58,1
-3,59,1
-3,60,1
-3,61,1
-3,62,1
-2,-22,1
-2,-21,1
-2,19,1
-2,20,1
-2,21,1
-2,22,1
-2,23,1
-2,24,1
-2,25,1
-2,26,1
-2,33,1
-2,34,1
-2,35,1
-2,38,1
-2,39,1
-2,40,1
-2,41,1
-2,42,1
-2,56,1
-2,58,1
-2,59,1
-2,60,1
-2,61,1
-2,62,1
-1,-22,1
-1,-21,1
-1,19,1
-1,20,1
-1,21,1
-1,22,1
-1,23,1
-1,24,1
-1,25,1
-1,26,1
-1,32,1
-1,33,1
-1,34,1
-1,35,1
-1,39,1
-1,40,1
-1,41,1
-1,42,1
-1,56,1
-1,57,1
-1,58,1
-1,59,1
-1,60,1
-1,61,1
-1,62,1
-1,63,1
0,-23,1
0,-22,1
0,-21,1
0,16,1
0,24,1
0,32,1
0,33,1
0,34,1
0,35,1
0,36,1
0,37,1
0,38,1
0,39,1
0,40,1
0,41,1
0,42,1
0,43,1
0,56,1
0,57,1
0,58,1
0,59,1
0,60,1
0,61,1
0,62,1
0,63,1
1,-22,1
1,-21,1
1,21,1
1,22,1
1,23,1
1,24,1
1,32,1
1,33,1
1,34,1
1,35,1
1,36,1
1,37,1
1,38,1
1,39,1
1,40,1
1,41,1
1,42,1
1,56,1
1,57,1
1,58,1
1,59,1
1,60,1
1,61,1
1,62,1
2,-24,1
2,-23,1
2,-22,1
2,19,1
2,20,1
2,21,1
2,22,1
2,23,1
2,24,1
2,25,1
2,26,1
2,33,1
2,34,1
2,35,1
2,39,1
2,40,1
2,41,1
2,56,1
2,57,1
2,58,1
2,59,1
2,60,1
2,61,1
2,62,1
3,-21,1
3,20,1
3,21,1
3,22,1
3,23,1
3,24,1
3,25,1
3,26,1
3,39,1
3,40,1
3,41,1
3,42,1
3,56,1
3,57,1
3,58,1
3,59,1
3,60,1
3,61,1
3,62,1
4,-22,1
4,-21,1
4,19,1
4,20,1
4,21,1
4,22,1
4,23,1
4,24,1
4,33,1
4,34,1
4,35,1
4,37,1
4,38,1
4,39,1
4,40,1
4,41,1
4,42,1
4,43,1
4,44,1
4,56,1
4,57,1
4,58,1
4,59,1
4,60,1
4,61,1
4,62,1
4,63,1
5,-23,1
5,-22,1
5,-21,1
5,-7,1
5,-6,1
5,-5,1
5,-4,1
5,-3,1
5,13,1
5,14,1
5,15,1
5,16,1
5,20,1
5,21,1
5,22,1
5,23,1
5,24,1
5,33,1
5,34,1
5,35,1
5,36,1
5,37,1
5,38,1
5,39,1
5,40,1
5,41,1
5,42,1
5,43,1
5,56,1
5,57,1
5,58,1
5,59,1
5,60,1
5,61,1
5,62,1
5,63,1
6,-23,1
6,-22,1
6,-21,1
6,-3,1
6,13,1
6,14,1
6,15,1
6,16,1
6,19,1
6,20,1
6,21,1
6,22,1
6,23,1
6,24,1
6,33,1
6,34,1
6,35,1
6,36,1
6,37,1
6,38,1
6,39,1
6,40,1
6,41,1
6,42,1
6,43,1
6,56,1
6,57,1
6,58,1
6,59,1
6,60,1
6,61,1
6,62,1
6,63,1
7,-23,1
7,-22,1
7,-6,1
7,-5,1
7,-4,1
7,-3,1
7,13,1
7,14,1
7,15,1
7,16,1
7,19,1
7,20,1
7,21,1
7,22,1
7,23,1
7,33,1
7,34,1
7,35,1
7,36,1
7,37,1
7,38,1
7,39,1
7,40,1
7,41,1
7,42,1
7,43,1
7,56,1
7,57,1
7,58,1
7,59,1
7,60,1
7,61,1
7,62,1
8,-6,1
8,-5,1
8,-4,1
8,-3,1
8,16,1
8,19,1
8,31,1
8,32,1
8,33,1
8,34,1
8,35,1
8,36,1
8,37,1
8,38,1
8,39,1
8,40,1
8,41,1
8,42,1
8,56,1
8,57,1
8,58,1
8,59,1
8,60,1
8,61,1
8,62,1
9,-21,1
9,19,1
9,21,1
9,31,1
9,32,1
9,33,1
9,34,1
9,35,1
9,36,1
9,37,1
9,38,1
9,39,1
9,40,1
9,41,1
9,42,1
9,56,1
9,57,1
9,58,1
9,59,1
9,60,1
9,61,1
9,62,1
10,-22,1
10,-21,1
10,-7,1
10,-6,1
10,-5,1
10,-4,1
10,-3,1
10,-2,1
10,-1,1
10,0,1
10,1,1
10,2,1
10,3,1
10,4,1
10,20,1
10,21,1
10,22,1
10,23,1
10,24,1
10,25,1
10,32,1
10,33,1
10,34,1
10,35,1
10,36,1
10,37,1
10,38,1
10,39,1
10,40,1
10,41,1
10,42,1
10,43,1
10,56,1
10,58,1
10,59,1
10,60,1
10,61,1
10,62,1
11,-22,1
11,-21,1
11,-7,1
11,-6,1
11,-5,1
11,-4,1
11,-2,1
11,-1,1
11,0,1
11,1,1
11,2,1
11,3,1
11,4,1
11,19,1
11,20,1
11,21,1
11,22,1
11,23,1
11,24,1
11,25,1
11,26,1
11,32,1
11,33,1
11,34,1
11,35,1
11,36,1
11,37,1
11,38,1
11,39,1
11,40,1
11,41,1
11,42,1
11,43,1
11,56,1
11,57,1
11,58,1
11,59,1
11,60,1
11,61,1
12,-22,1
12,-21,1
12,-7,1
12,-6,1
12,-5,1
12,-4,1
12,-3,1
12,-2,1
12,-1,1
12,0,1
12,1,1
12,2,1
12,3,1
12,4,1
12,19,1
12,20,1
12,21,1
12,22,1
12,23,1
12,24,1
12,32,1
12,33,1
12,34,1
12,35,1
12,36,1
12,37,1
12,38,1
12,39,1
12,40,1
12,41,1
12,42,1
12,43,1
12,56,1
12,57,1
12,58,1
12,59,1
12,60,1
12,61,1
12,62,1
13,-22,1
13,-21,1
13,-7,1
13,-6,1
13,-5,1
13,-4,1
13,-3,1
13,-2,1
13,-1,1
13,0,1
13,1,1
13,2,1
13,3,1
13,4,1
13,20,1
13,21,1
13,22,1
13,23,1
13,32,1
13,33,1
13,34,1
13,35,1
13,36,1
13,37,1
13,56,1
13,57,1
13,58,1
13,59,1
13,60,1
13,61,1
13,62,1
14,-22,1
14,16,1
14,19,1
14,20,1
14,21,1
14,22,1
14,23,1
14,56,1
14,57,1
14,58,1
14,59,1
14,60,1
14,61,1
14,62,1
15,-23,1
15,-22,1
15,-21,1
15,19,1
15,20,1
15,21,1
15,22,1
15,23,1
15,35,1
15,36,1
15,37,1
15,38,1
15,39,1
15,40,1
15,41,1
15,42,1
15,43,1
15,44,1
15,56,1
15,57,1
15,58,1
15,59,1
15,60,1
15,61,1
15,62,1
16,-23,1
16,-22,1
16,-21,1
16,16,1
16,19,1
16,21,1
16,22,1
16,23,1
16,32,1
16,33,1
16,34,1
16,35,1
16,36,1
16,37,1
16,38,1
16,39,1
16,40,1
16,41,1
16,42,1
16,43,1
16,44,1
16,56,1
16,58,1
16,59,1
16,60,1
16,61,1
16,62,1
17,-23,1
17,-22,1
17,-21,1
17,16,1
17,19,1
17,21,1
17,22,1
17,32,1
17,33,1
17,34,1
17,35,1
17,36,1
17,37,1
17,38,1
17,39,1
17,40,1
17,41,1
17,42,1
17,43,1
17,44,1
17,56,1
17,57,1
17,58,1
17,59,1
17,60,1
17,61,1
17,62,1
18,-22,1
18,-21,1
18,21,1
18,22,1
18,32,1
18,33,1
18,34,1
18,35,1
18,36,1
18,37,1
18,38,1
18,39,1
18,40,1
18,41,1
18,42,1
18,43,1
18,56,1
18,58,1
18,59,1
18,60,1
18,61,1
19,-22,1
19,-21,1
19,20,1
19,21,1
19,22,1
19,23,1
19,24,1
19,32,1
19,33,1
19,34,1
19,35,1
19,36,1
19,37,1
19,38,1
19,39,1
19,40,1
19,41,1
19,42,1
19,43,1
19,56,1
19,58,1
19,59,1
19,60,1
19,61,1
20,-22,1
20,-21,1
20,20,1
20,21,1
20,22,1
20,23,1
20,24,1
20,33,1
20,40,1
20,56,1
20,58,1
20,59,1
20,60,1
20,61,1
21,-22,1
21,-21,1
21,20,1
21,21,1
21,22,1
21,23,1
21,24,1
21,56,1
21,58,1
21,59,1
21,60,1
21,61,1
22,-22,1
22,-21,1
22,20,1
22,21,1
22,22,1
22,23,1
22,56,1
22,57,1
22,58,1
22,59,1
22,60,1
22,61,1
22,62,1
23,-22,1
23,-21,1
23,19,1
23,20,1
23,21,1
23,22,1
23,23,1
23,24,1
23,25,1
23,55,1
23,56,1
23,58,1
23,59,1
23,60,1
23,61,1
23,62,1
24,-22,1
24,-21,1
24,17,1
24,18,1
24,19,1
24,20,1
24,21,1
24,22,1
24,23,1
24,24,1
24,25,1
24,54,1
24,55,1
24,56,1
24,58,1
24,59,1
24,60,1
24,61,1
24,62,1
25,-22,1
25,-21,1
25,17,1
25,18,1
25,21,1
25,22,1
25,23,1
25,24,1
25,25,1
25,53,1
25,54,1
25,57,1
25,58,1
25,59,1
25,60,1
25,61,1
26,-23,1
26,-22,1
26,-21,1
26,22,1
26,23,1
26,24,1
26,52,1
26,53,1
26,57,1
26,58,1
26,59,1
26,60,1
26,61,1
27,-22,1
27,-21,1
27,17,1
27,51,1
27,52,1
27,54,1
27,55,1
27,57,1
27,58,1
27,59,1
27,60,1
27,61,1
28,-22,1
28,-21,1
28,16,1
28,50,1
28,51,1
28,53,1
28,54,1
28,56,1
28,57,1
28,58,1
28,59,1
28,60,1
28,61,1
29,12,1
29,13,1
29,14,1
29,15,1
29,16,1
29,17,1
29,18,1
29,49,1
29,50,1
29,55,1
29,56,1
29,57,1
29,58,1
29,59,1
29,60,1
29,61,1
30,-22,1
30,13,1
30,14,1
30,15,1
30,16,1
30,17,1
30,18,1
30,19,1
30,38,1
30,42,1
30,48,1
30,49,1
30,54,1
30,55,1
30,56,1
30,57,1
30,58,1
30,59,1
30,60,1
30,61,1
31,-22,1
31,-21,1
31,15,1
31,16,1
31,38,1
31,39,1
31,41,1
31,42,1
31,46,1
31,47,1
31,48,1
31,49,1
31,51,1
31,52,1
31,53,1
31,54,1
31,55,1
31,56,1
31,57,1
31,58,1
31,59,1
32,-22,1
32,-21,1
32,-20,1
32,-19,1
32,-18,1
32,-6,1
32,-5,1
32,0,1
32,1,1
32,2,1
32,14,1
32,19,1
32,20,1
32,21,1
32,32,1
32,33,1
32,34,1
32,35,1
32,36,1
32,37,1
32,38,1
32,39,1
32,40,1
32,41,1
32,42,1
32,43,1
32,44,1
32,45,1
32,46,1
32,47,1
32,48,1
32,49,1
32,50,1
32,51,1
32,52,1
32,53,1
32,54,1
32,55,1
32,56,1
32,57,1
33,-21,1
33,-20,1
33,-19,1
33,-18,1
33,-7,1
33,-6,1
33,-5,1
33,-4,1
33,-3,1
33,-2,1
33,-1,1
33,0,1
33,1,1
33,2,1
33,3,1
33,13,1
33,14,1
33,15,1
33,16,1
33,17,1
33,18,1
33,19,1
33,20,1
33,21,1
33,22,1
33,23,1
33,24,1
33,32,1
33,36,1
33,37,1
33,38,1
33,39,1
33,40,1
33,41,1
33,42,1
33,43,1
33,44,1
33,45,1
33,46,1
33,47,1
33,48,1
33,49,1
33,50,1
33,51,1
33,52,1
33,53,1
33,54,1
33,55,1
33,56,1
34,-20,1
34,-19,1
34,-18,1
34,-17,1
34,-7,1
34,-6,1
34,-5,1
34,-4,1
34,-3,1
34,-2,1
34,-1,1
34,0,1
34,1,1
34,2,1
34,3,1
34,4,1
34,12,1
34,13,1
34,14,1
34,15,1
34,16,1
34,17,1
34,18,1
34,19,1
34,20,1
34,21,1
34,22,1
34,23,1
34,24,1
34,25,1
34,32,1
34,36,1
34,37,1
34,38,1
34,39,1
34,40,1
34,41,1
34,42,1
34,43,1
34,44,1
34,45,1
34,46,1
34,47,1
34,48,1
34,49,1
34,50,1
34,51,1
34,52,1
34,53,1
34,54,1
34,55,1
34,56,1
35,-19,1
35,-18,1
35,-17,1
35,-16,1
35,-6,1
35,-5,1
35,-4,1
35,-3,1
35,-2,1
35,-1,1
35,0,1
35,1,1
35,2,1
35,3,1
35,4,1
35,11,1
35,12,1
35,14,1
35,15,1
35,16,1
35,17,1
35,18,1
35,19,1
35,20,1
35,21,1
35,22,1
35,23,1
35,24,1
35,25,1
35,32,1
35,33,1
35,35,1
35,36,1
35,37,1
35,38,1
35,39,1
35,40,1
35,41,1
35,42,1
35,43,1
35,44,1
35,45,1
35,46,1
35,47,1
35,48,1
35,49,1
35,50,1
35,51,1
35,52,1
35,53,1
35,54,1
35,55,1
35,56,1
35,57,1
35,58,1
35,59,1
36,-18,1
36,-17,1
36,-1,1
36,0,1
36,1,1
36,2,1
36,3,1
36,4,1
36,12,1
36,13,1
36,14,1
36,15,1
36,16,1
36,17,1
36,18,1
36,19,1
36,20,1
36,21,1
36,22,1
36,23,1
36,24,1
36,25,1
36,32,1
36,33,1
36,34,1
36,35,1
36,36,1
36,37,1
36,38,1
36,39,1
36,40,1
36,41,1
36,42,1
36,43,1
36,44,1
36,45,1
36,46,1
36,47,1
36,48,1
36,49,1
36,50,1
36,51,1
36,52,1
36,53,1
36,55,1
36,56,1
36,57,1
36,58,1
36,59,1
37,18,1
37,19,1
37,20,1
37,39,1
37,40,1
37,41,1
37,42,1
37,44,1
37,48,1
37,49,1
38,44,1
38,49,1
38,52,1
39,52,1
### Task 1
Based on the information above, compute and return the **coordinates of the four corner vertices** of the costmap in world coordinates.
### Task 2
Based on the data of the costmap above, use the **Hybrid A\*** path planning algorithm to compute a smooth, collision-free path from a given **start pose** to a **goal pose**, while considering nonholonomic vehicle constraints. You need to compute and return the path length for Task 2.
Please follow these specifications:
- Set the state space bounds to match the costmap limits: $x$ from -100 to 100, $y$ from -100 to 100, and orientation $\theta$ from 0 to 2π radians.
- Use **Hybrid A\*** planner for path computation.
- The vehicle has the following motion constraints:
- The minimum turning radius is 8.
- The motion primitive length is 10.
- The **start pose** is: `(32.5, 27.5, π)`
- The **goal pose** is: `(-15, 12, π/2)`
In addition to returning the length of the path you calculated, please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 3
Plan a collision-free path using the **Hybrid A\*** algorithm from a new start and goal pose. You need to compute and return the path length for Task 3.
- The new **start pose** is: `(30, -12, π)`
- The new **goal pose** is: `(-26, 12, π/2)`
All other requirements are the same as in Task 2.
In addition to returning the length of the path you calculated, please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
| Yaxin Li |
XG_11 | 482 | Control Design | ## Task Description
Consider the following third-order plant:
\[
G(s) = \frac{0.5}{s}\frac{169}{s^2 + 0.26s + 169},
\]
Assuming the desired loop bandwidth is $ \omega_{L} = 1 $ rad/sec. An initial loop shaping controller using controller gain and integral boost is given as:
- gain: $ K_g = \frac{1}{|G(j\omega_L)|}$,
- integral boost: $ K_i(s) = \frac{\beta_b s + \omega_L}{s \sqrt{\beta_b^2 + 1}}$ with $ \beta_b = \sqrt{10}$.
And the initial loop shaping controller is:
\[
C(s) = K_g \cdot K_i(s)
\]
### Task 1
Your first task is to obtain the explicit transfer function of the initial loop shaping controller. Please provide the complete transfer function of \( C(s) \) as part of your response in the form of numerator and denominator coefficients.
### Task 2
The initial design yeilds a unstable closed-loop system since there are additional gain crossings at 12.5 and 13.4 rad/sec. Your task is to build upon the initial controller, add a second-order roll-off element $K_r(s)$. A typical roll-off element has the form:
\[
K_r(s) = \frac{F_r(s)}{|F_r(j\omega_r)|},
\]
where \( F_r(s) = \frac{(\beta_r \omega_r)^2}{s^2 + \sqrt{2}\beta_r \omega_r s + (\beta_r \omega_r)^2} \). You need to design this roll-off by choosing \( \beta_r \) and \( \omega_r \). Then the final controller is given by:
\[
C(s) = K_g \cdot K_i(s) \cdot K_r(s)
\].
You need to design the roll-off element to achieve the following requirements:
- The closed-loop system is stable.
- The desired loop bandwidth is 1 rad/sec.
- The closed-loop system should have a phase margin of at least 50 degrees.
- The closed-loop system should have a gain margin of at least 3 dB.
| Xingang Guo |
JY_01 | 227 | Signal Processing | You are a student working in a electrical and computer engineering optics laboratory, focusing on polarization imaging. Your current task and goal is to design and set up an experimental test stand aimed to learn things of the polarized light behaviors. For the setup needed you will be required to use several components. First you will need to use a linear polarizer as the first component. Second, a quarter wave plate is needed. Third, another linear polarizer will be used. These filters will help you understand key features of contrast and attenuation of light. Additionally, three motors will be used to automate the rotation of the filter and wave plate. The goal is to have a reliable and flexible platform for polarization experiments.
You are working with a ideal QWP with retardance pi/2 and two ideal linear polarizers, given that the input angle is [1,0.6,0.2,0 ] provide the angels so that the stoke vector of output is [0.3 ,-0.3 , 0, 0 ]
Requirements: angle: -180-180 degrees
Notes: Are angles are given with respect to the horizontal axis | Jiankun Yang |
NS_PA_SS_02 | 270 | Digital Hardware Design | ## Task Description
You are tasked with designing and implementing a parameterizable Gray‐code sequence generator in Verilog. Your module will produce an n-bit Gray code on each rising edge of a clock, starting from zero after a synchronous, active‐low reset
### Background
Gray code (also known as reflected binary code) is an ordering of binary numbers such that two successive values differ in only a single bit. This property is critical in digital interfaces (e.g., rotary encoders, ADCs) to minimize glitching when multiple bits change simultaneously. The standard encoding for an n-bit Gray code can be built by reflecting and prefixing the (n-1)-bit sequence.
### Module Interface
Your Verilog source file `code.sv` must declare a module with the following signature, the module SHOULD be named model:
module model #(parameter
DATA_WIDTH = 4
) (
input clk,
input resetn,
output logic [DATA_WIDTH-1:0] out
);
N: positive integer ≥ 1, set via parameter.
clk: single‐bit input, rising‐edge clock.
resetn: synchronous, active‐low reset. When resetn == 0 on the rising edge, dout must be set to zero.
dout: N-bit register that holds the current Gray code value. | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
NS_PA_SS_05 | 423 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable SystemVerilog for a one‐cycle bubble‐sort module with parameterizable BITWIDTH, according to the following spec:
1. Parameterization
- parameter BITWIDTH = 8;
2. Ports : module NEEDS to be called model
module model #(
parameter BITWIDTH = 8
) (
input logic [BITWIDTH-1:0] din, // unsigned input word
input logic sortit, // start new sort when asserted
input logic clk, // clock
input logic resetn, // synchronous, active‐low reset
output logic [8*BITWIDTH+1-1:0] dout // concatenated sorted vector + valid bit
);
Behavior
Data capture: While sortit == 0, register incoming din words into an internal memory of depth 8. Ignore din when sortit == 1.
Sort trigger: When sortit goes high, perform an 8‐element bubble sort in one clock cycle on the stored data. The result is a concatenated bitstream of the 8 sorted words in descending order (largest in LSBs, smallest in MSBs).
Output:
While sortit == 0, dout = 0.
On sortit == 1, dout presents the sorted vector:
Bits [8*BITWIDTH-1:7*BITWIDTH] = smallest element
…
Bits [BITWIDTH-1:0] = largest element
Optionally include a valid flag as the MSB of dout (making the total width 8*BITWIDTH+1).
Reset: On resetn == 0, clear memory and drive dout = 0.
Implementation notes
The sort must complete in one clock cycle when sortit is asserted (i.e., fully unrolled compare‐and‐swap network).
Use generate‐for loops or explicit wiring to build the bubble‐sort network.
Please produce clean, commented, synthesizable SystemVerilog that meets this specification.``` | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
ZH_04 | 485 | Mechanical Systems | ## Task Description
You are tasked with designing a buoyancy-driven underwater glider that travels a horizontal distance using only changes in buoyancy and pitch angle. The glider follows a shallow glide path under water with a constant average glide speed of **1 m/s** and must meet all mission goals using a feasible design.
Your goal is to determine a feasible set of design parameters for:
- Glide angle **θ** (in degrees)
- Vehicle volume **V** (in cubic meters)
- Ballast mass **m_b** (in kg)
These four parameters must satisfy all of the following performance constraints:
- The glider must follow a V-shape path and reach a horizontal distance of at least **4000 meters** in total with diving to 400 meters depth in the middle in no more than 2 hours
- The total energy consumed (by buoyancy and control systems) must not exceed the **battery capacity**
- The battery capacity must not exceed **200 Wh**
- The density of the vehicle must not exceeds water density to that the vehicle can float without water inside it
- The vehicle volume must be in the range of **0.2-1 m³**
- The vehicle mass should be at least **200 kg**
The glider is assumed to follow a constant glide angle and experience power consumption that increases linearly with depth:
- Power consumption:
**P(z) = P₀ + l·m + k·z**, where
**P₀ = 100 W** (base system power),
**l = 0.05 W/kg** (mass-related power coefficient),
**k = 0.2 W/m** (depth-related power coefficient),
with z in meters and m in kg
Constants:
- Water density: **ρ = 1025 kg/m³**
- Gravitational acceleration: **g = 9.81 m/s²**
- Total gliding depth: **400 meters**
Your output should propose values for the four design parameters that satisfy all three constraints.
### Response Format
Please provide your response in the following format:
{
"reasoning": "<Your reasoning and design process>",
"angle": <proposed glide angle in degrees>,
"volume": <proposed vehicle volume in m³>,
"mass": <proposed ballast mass in kg>
}
| Zhihua Gong |
XG_10 | 547 | Control Design | ## Task Description
Consider the following first-order plant:
\[
G(s) = \frac{3}{s + 2}
\]
### Task 1
Your first task is to design a controller using the **loop-shaping method**. The desired loop bandwidth is \( \omega_L = 5 \, \text{rad/s} \).
To shape the loop around the desired bandwidth:
1. First, compute the gain
\[
K_g = \frac{1}{|G(j\omega_L)|}
\]
so that the open-loop gain at \( \omega_L \) equals 1.
2. Next, design an integral-boost element of the form:
\[
K_b(s) = \frac{\beta_b s + \omega_b}{s \sqrt{\beta_b^2 + 1}}
\]
with \( \omega_b = \omega_L \) and \( \beta_b = \sqrt{10} \).
This yields a **PI controller**:
\[
K_1(s) = K_g \cdot K_b(s)
\]
Please provide the complete transfer function of \( K_1(s) \) as part of your response in the form of numerator and denominator coefficients.
### Task 2
To enhance noise rejection, your second task is to augment the controller with a **first-order roll-off filter** of the form:
\[
K_r(s) = \frac{\omega_r \sqrt{\beta_r^2 + 1}}{s + \beta_r \omega_r}
\]
The final controller becomes:
\[
K_2(s) = K_1(s) \cdot K_r(s)
\]
You are to determine appropriate values for \( \omega_r \) and \( \beta_r \) such that the **controller’s sensitivity to measurement noise** is sufficiently reduced.
\( K_2(s) \) will be evaluated in a closed-loop simulation with:
- A step reference signal: \( r(t) = 3 \) for \( t \ge 0 \)
- Additive measurement noise: \( n(t) = 0.05 \cdot \texttt{randn}(...) \) for \( t \ge 1 \)
To evaluate the controller’s robustness to noise, we compute the **standard deviation of the control signal** during the noisy interval:
\[
\sigma_u = \mathrm{std}(u(t \ge 1))
\]
Please choose \( \omega_r \) and \( \beta_r \) such that:
\[
\sigma_u \le 0.02
\] | Xingang Guo |
ZH_03 | 332 | Robotics | ## Task Description
You are tasked with designing a wheel-motor-gear system for a lightweight electric ground robot. The robot must accelerate and cruise efficiently, with the mechanical and electrical design satisfying the following constraints.
Your goal is to determine a feasible set of design parameters for:
- Gear ratio **r**
- Wheel diameter **d** (in meters)
- Battery capacity **C** (in Wh)
- Robot mass **m** (in kg)
These four parameters must satisfy all of the following performance constraints:
- The robot must reach a top linear velocity of at least **3.0 m/s**
- The robot must be able to climb a **10-degree incline**
- The battery must support at least **30 minutes** of level cruising at **2.5 m/s** average speed without recharging
The robot has the following physical characteristics:
- Motor voltage: 24 V
- Max torque: 0.3 Nm at 4000 rpm
- Motor efficiency: 80%
- Rolling resistance coefficient: 0.015
- Robot cross-sectional area: 0.05 m²
- Gravitational acceleration: g = 9.81 m/s²
Your output should propose values for the four design parameters that satisfy all three constraints.
### Response Format
Please provide your response in the following format:
{
"reasoning": "<Your reasoning and design process>",
"r": <proposed gear ratio>,
"d": <proposed wheel diameter in meters>,
"C": <proposed battery capacity in Wh>,
"m": <proposed robot mass in kg>
}
| Zhihua Gong |
NS_PA_SS_04 | 330 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable SystemVerilog for an 8-bit Fibonacci Linear-Feedback Shift Register (LFSR) with a parameterizable DATA_WIDTH, according to the following spec:
1. Parameterization
- DATA_WIDTH (default 8)
2. Ports
```verilog
module model #(
parameter DATA_WIDTH = 8
) (
input logic clk, // clock signal
input logic resetn, // synchronous, active-low reset
input logic [DATA_WIDTH-1:0] din, // initial seed value written on reset
input logic [DATA_WIDTH-1:0] tap, // feedback polynomial (tap positions)
output logic [DATA_WIDTH-1:0] dout // current LFSR output
);
3. Behavior
Reset: On the rising edge of clk when resetn = 0, load the shift register with din, and set dout = 1.
Shift: On each rising edge of clk when resetn = 1:
Compute the feedback bit as the XOR of all register bits whose positions correspond to a ‘1’ in the registered/latched tap value.
Shift the register right by one bit.
Insert the feedback bit into the MSB position.
Update dout with the new register value.
Tap buffering: Internally register the tap input on reset so that the feedback polynomial remains constant until the next reset.
4. Output
dout presents the current DATA_WIDTH-bit state of the shift register.
Please produce clean, synthesizable SystemVerilog that meets this specification. | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
NS_PA_SS_03 | 485 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable SystemVerilog for a parameterized, 32-entry, 2-read/1-write register file with collision detection, according to the following spec:
1. Parameterization
parameter DATA_WIDTH = 16;
2. Ports
module model #(
parameter DATA_WIDTH = 16
) (
input logic [DATA_WIDTH-1:0] din, // write data
input logic [4:0] wad1, // write address
input logic [4:0] rad1, // read address 1
input logic [4:0] rad2, // read address 2
input logic wen1, // write-enable
input logic ren1, // read-enable 1
input logic ren2, // read-enable 2
input logic clk, // clock
input logic resetn,// sync active-low reset
output logic [DATA_WIDTH-1:0] dout1, // read data 1
output logic [DATA_WIDTH-1:0] dout2, // read data 2
output logic collision // collision flag
);
3. Behavior
Register bank: 32 words, each DATA_WIDTH bits.
Write on rising edge of clk when wen1 is high:
– If wen1 && resetn, write din into entry wad1.
Read on rising edge of clk when renN is high:
– If renN is deasserted, output zero on that port.
– If reading an unwritten address, output zero.
Default outputs: dout1 and dout2 reset to zero.
Reset: synchronous, active-low; on reset, clear all 32 entries (or implicitly treat as unwritten) and drive dout1, dout2, and collision to zero.
Collision detection:
– At each clock edge, set collision = 1 if any two of (wad1, rad1, rad2) are equal and their enables are asserted (i.e. write/read or read/read to same address); otherwise collision = 0.
4. Support
Up to three operations per cycle: two reads + one write, or fewer when enables are low.
Please produce clean synthesizable SystemVerilog that meets this specification. | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
NS_PA_SS_10 | 359 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable SystemVerilog for a serial‐input “divisible‐by‐5” detector that outputs a 1 whenever the cumulative binary value shifted in is evenly divisible by five, according to the following spec:
1. Parameterization
- No fixed width: the module processes an arbitrarily long bitstream, one bit per clock.
2. Ports
```verilog
module model (
input logic clk, // clock signal
input logic resetn, // synchronous, active‐low reset: clears history
input logic din, // serial input bit, MSB first
output logic dout // high if the current value mod 5 == 0
);
Behavior
State machine on remainder: Internally maintain a 3‐bit or larger state register holding the current remainder modulo 5.
Shift in bit: On each rising edge when resetn == 1:
Compute new_value = (old_value << 1) + din
new_remainder = new_value mod 5, computed from the previous remainder and incoming bit.
Store new_remainder in the state register.
Set dout = 1 if new_remainder == 0, else dout = 0.
Reset: When resetn == 0 on the rising edge, clear the remainder state to 0 and drive dout = 0.
Implementation notes
Use a small finite‐state machine with five states (remainders 0–4).
The update logic can be built combinationally from the previous remainder and din.
Keep all logic synchronous to clk.
dout must be valid the same cycle the new bit is processed.
Please produce clean, commented, synthesizable SystemVerilog that meets this specification. | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
ZC_03 | 1,218 | Control Design | ## Task Description
We consider a multi-armed bandit (MAB) problem, where each arm corresponds to a different treatment option (e.g., a specific drug administered to a patient). Pulling an arm represents prescribing and administering that particular drug. The reward received after pulling an arm reflects the patient's observed health outcome following the treatment, such as improvement in symptoms or biomarker changes.
\subsection*{1. Environment}
\begin{itemize}
\item $K \in \mathbb{N}$ denotes the number of arms.
\item Let $L \in \mathbb{N}$, and $T = L*K$ is the fixed time horizon.
\item Each arm $i \in \{1,\dots,K\}$ produces i.i.d.\ rewards drawn from an unknown, $1$-sub-Gaussian distribution $P_i$ with mean $\mu_i \in [0,1]$.
\end{itemize}
\subsection*{2. Interaction processes}
At each round $t \in \{1, \dots, T\}$, the learner selects an arm $a_t \in \{1,\dots,K\}$, which corresponds to administering a particular treatment (e.g., giving a specific drug to a patient). After pulling arm $a_t$, the learner observes a reward $r_t \sim P_{a_t}$.
\subsection*{3. Cumulative regret}
One important performance metric in MAB problems is the cumulative regret, which ideally should be as small as possible; a lower cumulative regret often indicates better overall welfare of the patients. The definition of the regret is:
\[
R_T \;:=\;
\mathbb{E}\!\Bigl[\, \sum_{t=1}^{T} \bigl(\mu^\ast - \mu_{a_t}\bigr) \Bigr],
\quad
\mu^\ast \;:=\; \max_{1\le i \le K} \mu_i .
\]
\subsection*{4. Average treatment effect (ATE)}
The ATE quantifies the difference in expected outcomes between two treatments (or arms). Estimating the ATE allows us to identify the performance of each treatment.
For any two arms $i,j$ define the true ATE
\[
\Delta_{ij} \;:=\; \mu_i \;-\; \mu_j .
\]
For arm $i$ the reward estimator after $T$ iterations is $\hat{\mu}_i$. The empirical ATE between arms $i$ and $j$ is
\[
\hat{\Delta}_{ij} \;:=\; \hat{\mu}_i \;-\; \hat{\mu}_j.
\]
Besides, we define the maximum ATE estimation error after $T$ rounds as
\[
\hat{\Delta}_T \;:=\;
\max_{1 \le i < j \le K}
\bigl| \, \hat{\Delta}_{ij} - \Delta_{ij} \, \bigr|.
\]
We aim for the $\hat{\Delta}_T$ to be as small as possible.
\subsection*{5. Performance Quantities}
Minimizing both cumulative regret and ATE estimation error is essential to balance effective decision-making with accurate statistical inference. Low regret indicates that the learner is consistently selecting high-performing arms and thus achieving strong reward performance. At the same time, a small ATE estimation error enables reliable comparisons between treatments, which is critical for understanding their relative effectiveness. To capture this trade-off, we aim to minimize the following objective:
\[
J \;:=\;
\mathbb{E}\!\bigl[ \sqrt{R_T} \bigr]
\;\cdot\;
\mathbb{E}\!\bigl[ \hat{\Delta}_T \bigr].
\]
We want to design a learning algorithm that can minimize $J$.
\subsection*{6. Two--phase algorithm design}
We aim to design a two-phase algorithm to minimize \(J\). Let \(\alpha\) and \(\beta\) satisfy \(1 \le K^{\alpha}L^{\beta} \le T\). The algorithm proceeds in two stages: an initial uniform exploration phase, followed by an adaptive exploitation phase based on the collected data.
\paragraph{Exploration phase (rounds $1,\dots,K^{\alpha}L^{\beta}$).}
Pull every arm in a round--robin fashion.
\paragraph{Exploitation phase (rounds $K^{\alpha}L^{\beta}+1,\dots,T$).}
Run the standard UCB rule.
The resulting $J$ is denoted $J(\alpha,\beta)$.
### Task
Here we analyze the asymptotic behavior of $J$, focusing only on the constants $K$ and $L$, ignoring the logarithmic term and other constant factors.
\textbf{Goal:} Find the upper bounds and lower bounds of $\alpha$ and $\beta$ $\{\bar\alpha,\bar\beta,\underline{\alpha},\underline{\beta}\}$ such that
\[
\forall \alpha \in [\bar\alpha,\underline{\alpha}],\ \forall \beta\in[\bar\beta,\underline{\beta}];\quad J(\alpha,\beta) = \min_{x,y \in S} J(x,y)
\]
where
\[
S \;:=\; \bigl\{\, \alpha,\beta \in \mathbb{R} \,:\; 1 \le K^{\alpha}L^\beta \le T \bigr\}.
\] | Zichen Wang |
Yiqi_02 | 4,385 | Computer Architecture Design | # Problem Definition of T10 Benchmark
To compute a matrix multiplication operator on an inter-core connected AI chip, we need you to derive an execution plan using T10's abstraction. For more information about this problem, please refer to "Background Information of T10 Benchmark".
**The Computation Task:**
The matrix multiplication (MatMul) to be computed is defined as $C[m,n] += A[m,k]*B[k,n]$, where $m = 32$, $k = 5120$, and $n = 15360$. The input and output tensors are all in FP16 format (2 bytes per data element). To find better partitioning plans, you may consider padding this operator along any of its dimensions. However, there will be performance overhead if you pad too much.
**The Hardware:**
We use an inter-core connected AI chip called IPU Mk2. An IPU chip has 1,472 cores, and each core executes independent threads in parallel with a private 624KB scratchpad memory, which adds up to a total of 896MB on-chip memory. The IPU cores are interconnected by high-bandwidth low-latency links. Each core can access the scratchpad memory of another core at 5.5GB/s, offering an aggregated inter-core all-to-all bandwidth of $1472 \times 5.5$ GB/s $\approx 8$ TB/s [1]. Inside each core, there is a systolic array of shape $16 \times 16$, which can compute a partition of the MatMul operator at high throughput. If the sub-MatMul to be computed on each core does not have a shape that is a multiple of the systolic array shape, this sub-MatMul must be padded to align with the systolic array shape.
**The Execution Plan:**
In this problem, we need you to derive a fast execution plan that computes the above MatMul on an IPU chip. First, this plan should ensure that at any time during execution, the sub-tensor partitions on each core do not overflow the per-core SRAM size. Second, the partition factors in this plan should comply with all constraints defined in T10's background information. Third, this plan should use no more than 1,472 cores. Finally, this plan should try to minimize the total execution time, which is the sum of per-core computation time and inter-core communication time.
**The Output Format:**
You should output the execution plan in the following format:
- `F_op`: a list of integers with length 3, which are the operator partition factors on dimensions $m$, $k$, and $n$, respectively.
- `f_t_A_m`: integer, which is the temporal partition factor of tensor A on dimension $m$.
- `f_t_A_k`: integer, which is the temporal partition factor of tensor A on dimension $k$.
- `f_t_B_k`: integer, which is the temporal partition factor of tensor B on dimension $k$.
- `f_t_B_n`: integer, which is the temporal partition factor of tensor B on dimension $n$.
- `f_t_C_m`: integer, which is the temporal partition factor of tensor C on dimension $m$.
- `f_t_C_n`: integer, which is the temporal partition factor of tensor C on dimension $n$.
---
# Background Information of T10 Benchmark
## 1. Inter-core Connected AI Chip
Deep learning accelerators, such as GPUs and TPUs, are widely recognized for their exceptional computing throughput (e.g., hundreds of Tera-FLOPS), making them ideal for handling large-scale models and high-dimensional data in deep learning. Such effectiveness is largely attributed to their massive parallel cores and specialized accelerator units, e.g., TensorCore. However, to saturate the high computing throughput, they usually require a high-throughput memory system, e.g., a large shared memory with multi-hierarchical cache layers in accelerators. Also, an efficient deep learning compiler [7, 8] is necessary to optimize data reuse across the memory hierarchy, ensuring the computing units are fully utilized. This combination of hardware and software design often yields orders of magnitude higher performance than traditional CPUs for critical deep learning operations, including matrix multiplication and convolution.
Despite the success of existing accelerators, the constantly increasing demand for processing large deep-learning models with higher computation throughput presents a significant challenge to the underlying memory system. To address this challenge, the community is exploring a more scalable architecture with fully distributed memory, such as the Graphcore IPU [2], SambaNova SN10 [5], and Cerebras WSE [3]. Rather than relying on shared-memory architecture, they typically associate each computation core with local memory, and connect the cores via a high-bandwidth on-chip network, creating a large aggregated on-chip memory. However, this unique architecture renders previous deep learning compilers designed for shared-memory architectures, which cannot fully leverage the new architecture's scalability, resulting in significant memory waste.
Designing a deep learning compiler for distributed memory-based accelerators presents several unique challenges:
1. Due to the absence of global shared memory, it is necessary to partition the operators, along with their input and output tensors, into sub-operators that can operate independently on each core with only local memory access.
2. As the local memory on each core is mostly on-chip memory, the aggregated memory capacity is considerably smaller than the off-chip memory. Thus, the compiler must effectively utilize the limited memory capacity to support a model size as large as possible, without compromising on computing performance.
3. Given that there is usually a trade-off between memory consumption and computation performance for each operator, an end-to-end model compilation must consider the trade-offs among all operators, generating a combinatorial optimization space that is infeasible to solve using existing compilers.
In this problem, we focus on a representative example of the inter-core connected AI chip: the Graphcore Intelligence Processing Unit (IPU) MK2 [2]. An IPU chip has 1,472 cores, and each core executes independent threads in parallel with a private 624KB scratchpad memory, which adds up to a total of 896MB on-chip memory. Compared to the global shared memory architecture, a key distinction is that IPU cores are interconnected by high-bandwidth low-latency links. Each core can access the scratchpad memory of another core at 5.5GB/s, offering an aggregated inter-core all-to-all bandwidth of $1472 \times 5.5$GB/s $\approx 8$TB/s [1].
---
## 2. Background of T10
To eliminate the excessive memory footprint and redundant inter-core communications of VGM, we map the DNN computation to a _compute-shift_ pattern. In each step, each core independently computes a sub-task with data received from its upstream neighbors and shifts the data to its downstream. The feasibility of this approach for general DNNs comes from this observation: most DNN operators can be divided into regular computation tasks, which load and produce consecutive data tiles of the input and output tensors, respectively.

Figure 1 shows an example that maps a MatMul operator to two cores with the compute-shift style execution.
Both (b) and (c) are valid compute-shift execution plans, but with different tradeoffs between memory footprint and communication overhead.
**Example: Mapping MatMul to Two Cores**
We show an example that maps a matrix multiplication (MatMul) operator to two cores in Figure 1 (a).
1. **Partitioning:** We first partition the operator along dimension $m$ onto two cores in Figure 1 (b). By default, both cores hold a copy of the weight tensor, which incurs memory capacity overhead.
2. **Memory Optimization:** To reduce memory footprint, in Figure 1 (c), we further split the weight tensor along dimension $n$ into two parts and place each part on one of the cores. Then, the computation must be conducted in two steps, as each core holds half of the weight tensor and performs half of its computation per step. Between the computation steps, each core circularly shifts its partition to the next core, forming a shift ring of two cores.
**Advantages of Compute-Shift Pattern**
1. Eliminates the need for a global memory to store shared data, improving memory capacity utilization.
2. Evenly distributes communication volume across inter-core connections.
3. Aligns computation with data tile, avoiding redundant communications to many cores.
**Tradeoff Between Memory Footprint and Communication Overhead**
For example, both Figure 1 (b) and (c) show valid execution plans:
- **Plan (b):** Finishes the entire computation in one step without inter-core communication, but has a higher memory footprint.
- **Plan (c):** Has less memory footprint but incurs more communication overhead.
In reality, deriving the best tradeoff is challenging due to multi-dimensional DNN operators and thousands of cores on an IPU chip. An efficient compute-shift execution plan may contain numerous nested shift rings along multiple tensor dimensions, composing a massive tradeoff space to search through.
---
## 3. T10's Execution Plan Format
### $r$Tensor: A New Tensor Abstraction
T10 introduces a distributed tensor abstraction called RotatingTensor ($r$Tensor). $r$Tensor describes how each tensor is partitioned, mapped, and shifted on the interconnected cores (summarized in Table 1).
**Table 1. Terminologies used in T10**
| **Symbol** | **Name** | **Description** |
|------------|------------------------------|-------------------------------------------------------------------------------|
| `f_s^X` | Spatial Partition Factor | Spatially partitions a tensor X into sub-tensors. |
| `f_t^X` | Temporal Partition Factor | Temporally partitions a sub-tensor of X into sub-tensor partitions. |
| `F_op` | Operator Partition Factor | Spatially partitions an entire operator into sub-operators. |
First, T10 partitions the computation of an operator onto multiple cores. Based on the data dependency, the computation partitioning will imply how each of its input/output tensor is partitioned. This gives a spatial partition factor ($f_s$), which splits a tensor into sub-tensors. Second, each sub-tensor may be required by multiple cores. To share a sub-tensor among them, we specify how the sub-tensor is further partitioned among the cores using a temporal partition factor ($f_t$). $f_t$ also specifies how the partitions of a sub-tensor are circularly shifted among the cores. Altogether, a set of $r$Tensors of an operator defines a compute-shift execution plan. The numerous possible $r$Tensor configurations of an operator generate a huge search space of execution plans.

Figure 2 shows the llustration of rTensor partitioning and rotating.
Specifically, $f_s$ and $f_t$ are vectors with a length equal to the number of dimensions of a tensor, indicating how the tensor is partitioned along each dimension. For example, in Figure 2 (a), a tensor $T$ of shape $[6,8]$ is partitioned onto 8 cores by a spatial factor $f_s=[2,1]$, forming 2 sub-tensors of shape $[3,8]$. Thus, to share each sub-tensor among 4 cores without incurring high memory footprint, a temporal factor $f_t=[1,2]$ further partitions each sub-tensor into 2 partitions with shape $[3,4]$, as shown in Figure 2 (b). It forms $\frac{4}{2} = 2$ rotation rings with 2 cores in each, where cores share the sub-tensor by circularly shifting its partitions. In comparison, Figure 2 (c) shows how another $f_t=[1,4]$ splits the same sub-tensor to 4 partitions, on $\frac{4}{4}=1$ rotation ring with 4 cores.
---
## 3.2. Compute-Shift Execution Plan
Using the $r$Tensor abstraction, T10 organizes the computation of a general DNN operator into a compute-shift pattern, where the operator's computation and tensors are partitioned to individual cores and their local memories. The entire computation involves multiple compute-shift steps until each tensor has been shifted across all cores. Each compute step is defined as a *sub-task*. In each compute-shift step, each core computes a sub-task and shifts local tensors to its neighbors. We now discuss how T10 partitions DNN operators into compute-shift-based execution plans.
### Operator representation.
To represent an operator's computation, T10 uses tensor expression [6], which defines how each output tensor value is computed from the input values. For example, a matrix multiplication of tensors $A$ in shape $[M,K]$ and $B$ in $[K,N]$ into $C$ is defined as
$C[m,n] \longleftarrow A[m,k]*B[k,n]$,
where $m$, $k$, and $n$ are axes to index the elements in each tensor. Equation (1) indicates that any value in $C$ indexed by $m$ and $n$ (i.e., $C[m,n]$) is computed by summing $A[m,k]*B[k,n]$ over all possible indices $k$. T10 supports all common operators, like MatMult and Convolution, from DNN workloads in both inference and training. For a few special cases like Sort, which cannot be represented in tensor expression, T10 uses the implementations from the vendor library.
### Partitioning an operator.
To map an operator to interconnected cores, T10 first partitions it into parallel *sub-operators* along all unique axes in its tensor expression, using an *operator partition factor* ($F_{op}$). For example, Equation (1) contains axes $m$, $k$, and $n$, then $F_{op}$ is a vector of three integer factors specifying how the three axes are spatially partitioned. The total number of sub-operators is the product of all elements in $F_{op}$. For example, $F_{op}=[2,1,4]$ for $[m,k,n]$ slices the operator into 8 sub-operators on 8 cores, each computing a $\lceil\frac{M}{2},\frac{K}{1}\rceil \times \lceil\frac{K}{1},\frac{N}{4}\rceil$ sub-matrix multiplication.
### Partitioning $r$Tensors.
T10 then uses $F_{op}$ to derive the spatial partition factor $f_s$ for each tensor, following the data dependencies in tensor expression. With the same example, for $F_{op}=[2,1,4]$ on $[m,k,n]$, the spatial partition factor for the tensor A is $f_s^A=[2,1]$ for axes $m$ and $k$. Similarly, for tensors B and C, we have $f_s^B=[1,4]$ and $f_s^C=[2,4]$.
If a tensor's dimensions do not include some axis in $F_{op}$, each of the sliced sub-tensors is required by multiple sub-operators along the missing axis. Thus, once the spatial factor determines the number of cores that will share a sub-tensor, the temporal factor determines how we split the sub-tensor across these cores into rotation ring(s). In the above example, $F_{op}$ partitions the entire operator onto $2 \times 1 \times 4 = 8$ cores, and $f_s^B$ spatially partitions tensor B into $1 \times 4 = 4$ sub-tensors. Thus, each sub-tensor is shared by $P=\frac{8}{4}=2$ cores. Then, a temporal factor $f_t^B=[2,1]$ further splits each sub-tensor into $2 \times 1 = 2$ partitions, forming $\frac{P}{2}=1$ rotation ring.
T10 enforces that the product of elements in $f_t$, or $\prod f_t$, is a divisor of the number of cores that shares the sub-tensor ($P$), so that the number of rotation rings (i.e., $\frac{P}{\prod f_t}$) is an integer. If there is more than one rotation ring, we replicate each sub-tensor $\frac{P}{\prod f_t}$ times to ensure that each ring shares one copy of the sub-tensor. While the duplication consumes memory space, it may reduce the number of rotation steps by allowing a larger sub-task on each core at each step, which enables a trade-off between memory usage and communication cost.

Figure 3 shows an example of the rotation of rTensor.
The compute-shift executions of the sub-operators need to be aligned.
### Aligning the rotations of $r$Tensors.
Since a general DNN operator can have various tensor shapes, a naive partitioning plan can easily cause the tensor shifting and the sub-task computing at an unaligned pace. In Figure 3 (a), we still use the MatMult operator in Equation (1) as an example. We partition it into a $2 \times 4$ grid in Figure 3 (b), with the specified partition factors. Note that both A and B are temporally partitioned along axis $k$, but with different $f_t$ factors.
The rotating paces of tensors in one operator must be aligned to ensure correct data dependency. In Figure 3 (b), tensors A and B are shifted with different paces along axis $k$. To synchronizes the paces, each core shifts A for 2 times along $k$ for each time B is shifted, and computes a sub-task (i.e., a sub-MatMult) of shape $[\text{m=1, k=1, n=1}]$ for each time A is shifted. This requires 4 compute steps to finish the sub-operator on this core, where A is shifted after each step and B is shifted every 2 steps.
### Alignment constraints.
To ensure that a $r$Tensor configuration can be translated into a valid execution plan, the product of the temporal partition factors of a tensor (i.e., the total number of temporal partitions) should be a factor of the replication number caused by spatial partitioning. Additionally, for different tensors of an operator that share a common dimension, all their temporal factors on this dimension should be aligned. Thus, any pair of temporal factors should be a factor or multiple of each other. This approach allows each tensor or sub-tensor to flow across cores at a different pace, while ensuring that corresponding tensor partitions can meet at the same time step on a specific core. For instance, in the matrix multiplication illustrated in Figure 3(b), tensor A has a temporal factor of 4 along dimension $k$, tensor B has a factor of 2, and these factors along $k$ (i.e., 4 and 2) must be a factor or multiple of each other.
### Sub-operator scheduling.
With the above constraints, we can organize an operator's computation into a valid compute-shift execution plan. At each step, each sub-operator computes a sub-task partitioned by $F_{op}$ and the $f_t$ along each axis. Each sub-operator iterates over all its sub-tasks by nested-looping through the axes of this operator. Between sub-tasks, an $r$Tensor is rotated along the currently iterating axis for all its sub-tensors, until all sub-tasks are enumerated.
Specifically, T10 organizes the computation on each core as nested loops of interleaved compute and shift stages. Each loop corresponds to a temporally partitioned dimension. For example, if there are two dimensions to shift, $m$ and $n$, with $m$ shifting twice and $n$ shifting once, then there are two valid 5-time shift schedules: (1) $m$ is the inner loop, i.e., $\text{shift}(m) \times 2 \rightarrow \text{shift}(n) \rightarrow \text{shift}(m) \times 2$; and (2) $n$ is the inner loop, i.e., $\text{shift}(n) \rightarrow \text{shift}(m) \rightarrow \text{shift}(n) \rightarrow \text{shift}(m) \rightarrow \text{shift}(n)$. To determine the optimal loop order, T10 designates the dimension belonging to the tensor with the smaller size as the inner loop to reduce the total communication volume, as the inner loop is executed more times. To generate local computations for each core, T10 invokes the corresponding compute function with the partition configuration and the tensor expression.
---
# References
[1] Zhe Jia, Blake Tillman, Marco Maggioni, and Daniele Paolo Scarpazza. 2019. Dissecting the Graphcore IPU Architecture via Microbenchmarking.
[2] Simon Knowles. 2021. Graphcore Colossus Mk2 IPU.
[3] Sean Lie. 2021. Multi-Million Core, Multi-Wafer AI Cluster.
[4] Yiqi Liu et al. 2024. Scaling Deep Learning Computation over the Inter-Core Connected Intelligence Processor with T10.
[5] Raghu Prabhakar and Sumti Jairath. 2021. SambaNova SN10 RDU.
[6] Nicolas Vasilache et al. 2018. Tensor Comprehensions.
[7] Lianmin Zheng et al. 2020. Ansor.
[8] Hongyu Zhu et al. 2022. ROLLER. | Yiqi Liu |
XW_01 | 1,116 | Operating System Design | ## Task Description
In this task, you will be provided with a filesystem image. You are required to develop separate programs—each implementing a different filesystem operation (e.g., create, read, update, delete)—to modify the corresponding sections of that image. Your objective is to ensure that each program correctly performs its assigned operation on the intended part of the filesystem image while preserving its overall integrity.
Here is the class definition for your reference:
from dataclasses import dataclass, field
from typing import List, Dict, Optional
@dataclass
class SuperBlock:
"""File system superblock, stores metadata."""
block_size: int # Size of each block (bytes)
total_blocks: int # Total number of blocks
inode_count: int # Total number of inodes
free_block_bitmap: List[bool] # Free block bitmap, True = free
@dataclass
class Inode:
"""Inode structure, stores file/directory metadata."""
ino: int # Inode number
is_dir: bool # Whether this inode is a directory
size: int # File size (bytes)
direct_blocks: List[int] # List of direct block indices
# Optional: add indirect block pointers, multi-level indexing, permissions, timestamps, etc.
@dataclass
class DirEntry:
"""Directory entry: maps a filename to an inode."""
name: str
inode: int
@dataclass
class FileSystemImage:
"""Complete file system image structure."""
superblock: SuperBlock
inodes: Dict[int, Inode] = field(default_factory=dict)
# Directory tree: inode -> list of entries in that directory; valid only for is_dir=True inodes
directories: Dict[int, List[DirEntry]] = field(default_factory=dict)
# Data block storage area: index corresponds to block number, content is bytes
data_blocks: List[Optional[bytes]] = field(default_factory=list)
def __post_init__(self):
# Initialize data block storage area
if not self.data_blocks:
self.data_blocks = [None] * self.superblock.total_blocks
def allocate_block(self) -> int:
"""Allocate a block from the free bitmap and return its index; raise if none available."""
for idx, free in enumerate(self.superblock.free_block_bitmap):
if free:
self.superblock.free_block_bitmap[idx] = False
self.data_blocks[idx] = b'' # Initialize with empty content
return idx
raise RuntimeError("No free blocks available")
def free_block(self, block_idx: int):
"""Free the specified block index."""
if 0 <= block_idx < self.superblock.total_blocks:
self.superblock.free_block_bitmap[block_idx] = True
self.data_blocks[block_idx] = None
else:
raise IndexError("Block index out of range")
def create_inode(self, is_dir: bool) -> Inode:
"""Create a new inode and return it."""
new_ino = len(self.inodes) + 1
if new_ino > self.superblock.inode_count:
raise RuntimeError("No free inodes")
inode = Inode(
ino=new_ino,
is_dir=is_dir,
size=0,
direct_blocks=[]
)
self.inodes[new_ino] = inode
if is_dir:
self.directories[new_ino] = []
return inode
def add_dir_entry(self, dir_ino: int, name: str, inode: int):
"""Add a directory entry to the directory with inode dir_ino."""
if dir_ino not in self.directories:
raise RuntimeError("Not a directory inode")
self.directories[dir_ino].append(DirEntry(name=name, inode=inode))
### Task 1
def read(fs_img: FileSystemImage, name: str, pos: int, length: int) -> str:
"""
Read up to `length` bytes from the file called `name` in the given FileSystemImage,
starting at byte offset `pos`, and return the data as a Python string (decoded as UTF‑8).
Parameters:
- fs_img: the FileSystemImage instance containing inodes, directories, and data blocks.
- name: an absolute or relative path to the target file within `fs_img`.
- pos: the byte offset within the file at which to begin reading.
- length: the maximum number of bytes to read.
Returns:
- A Python `str` containing the bytes read, decoded from UTF‑8.
Behavior:
1. If the file `name` does not exist or refers to a directory, raise a `FileNotFoundError` or `IsADirectoryError` respectively.
2. If `pos` is negative or exceeds the file’s size, raise an `ValueError`.
3. If `pos + length` extends beyond the end of file, read only up to EOF.
4. Read the appropriate data blocks from `fs_img.data_blocks` according to the file’s inode `direct_blocks`, concatenating and slicing as needed.
5. Decode the resulting bytes to a UTF‑8 string and return it.
"""
# Your implementation here…
| Licheng Xu,Jinwen Wang |
HJ_01 | 192 | Robotics | ## Task Description
The company let you assembly a car for track following design competition. The car control is simply a look ahead point tracking model. A path with length of 56 meters is given, and your car should finish test in 10 sec with max tolerance 1 meters of track-off distance. You are given 300 dolors budget. You can control four different value: refresh rate, acceleration, max velocity and lookahead distance. The refreshing cost is 5 dollar/hz; the acceleration cost is 10 dolors/ m/s^2; the max motor velocity is 8 dolors/ m/s, and the look ahead camera distance cost 1 dolor/ meter.
### Task
Your task is to design the car setup with: refresh rate, acceleration, max velocity and lookahead distance so that:
Total runtime < 10 sec
Max off-track error < 1 meter
Budget cost < 300 dollars
| Jingjie He |
ZC_02 | 420 | Control Design | ## Task Description
Consider the discrete‐time Lurye feedback interconnection composed of the following blocks:
Nonlinear block:
\[
w_k = \phi(v_k),
\quad
\phi:\mathbb R\to\mathbb R\text{ satisfies }
0 \;\le\; \frac{\phi(v_1)-\phi(v_2)}{v_1-v_2}\;\le\;1,
\;\forall v_1\neq v_2.
\]
Linear time‐invariant block:
\[
\begin{cases}
x_{k+1} = A\,x_k + \alpha\,B\,w_k,\\
y_k = C\,x_k + D\,w_k,
\end{cases}
\quad
\alpha>0\text{ multiplies the input matrix }B,
\]
with numerical values
\[
A = \begin{bmatrix}0.5000 & 0 \\1.0000 & 0\end{bmatrix},
B = \begin{bmatrix}1 \\0\end{bmatrix},\quad
C = \begin{bmatrix}2.0000 & 0.9200\end{bmatrix},
D = [\,0\,].
\]
Interconnection:
\[
v_k = d_k - y_k,\qquad
w_k = \phi(v_k),\qquad
e_k = w_k.
\]
### Task
Calculate the $\alpha$ where
\[
\alpha_{\max}
= \max\bigl\{\alpha>0 \mid \text{the closed‐loop is absolutely stable for all }\phi\text{ in the sector}\bigr\}.
\]
Please note the properties of the nonlinear operator $\phi$, you are encouraged to use semidefinite programming and bisection.
| Zichen Wang |
Ziheng_01 | 593 | Control Design | ## Task Description
You are given an aircraft pitch-axis plant model and asked to design a Robust-Servo LQR (RS-LQR) controller. Consider the continuous‐time state–space model
\[
\dot{\bm x}_p = A_p\,\bm x_p + B_p\,u,\quad
y = C_p\,\bm x_p + D_p\,u,
\]
where
\[
\bm x_p = [\alpha,\; q,\; \delta_e,\;\dot\delta_e]^T,\quad
u = \delta_{\mathrm{cmd}},\quad
y = [A_z,\;\alpha,\;q,\;\delta_e,\;\dot\delta_e]^T.
\]
The plant matrices are
\[
A_p = \begin{bmatrix}
-1.3046 & 1.0 & -0.21420 & 0 \\
47.71 & 0 & -104.83 & 0 \\
0 & 0 & 0 & 1.0 \\
0 & 0 & -12769.0 & -135.6
\end{bmatrix},\quad
B_p = [0,\;0,\;0,\;12769.0]^T,
\]
\[
C_p = \begin{bmatrix}
-1156.9 & 0 & -189.95 & 0 \\
1 & 0 & 0 & 0 \\
0 & 1 & 0 & 0 \\
0 & 0 & 1 & 0 \\
0 & 0 & 0 & 1
\end{bmatrix},\quad
D_p = \mathbf{0}_{5\times1}.
\]
Design a dynamic state–feedback controller
\[
\dot{\bm x}_c = A_c\,\bm x_c + B_{c1}\,y + B_{c2}\,r,\quad
u = C_c\,\bm x_c + D_{c1}\,y + D_{c2}\,r,
\]
such that:
\begin{itemize}
\item The closed‐loop rise time of \(A_z\) is \(<0.2\) s.
\item The system is stable.
\item The gain margin is \(>3\) dB.
\item The phase margin is \(>30\) degrees.
\end{itemize}
Please output all six controller matrices Ac, Bc1, Bc2, Cc, Dc1, Dc2 that satisfies the above requirements. | Ziheng Guo |
XG_02 | 681 | Control Design | Consider a nanopositioning stage modeled by the following seventh-order linear state-space system. This model is fitted to frequency response data collected from the physical device:
A = \begin{bmatrix}
-3360.27884342382 & 24650.4407238876 & -24650.4407238876 & 24650.4407238876 & -24650.4407238876 & 24650.4407238876 & -6162.61018097190 \\
-3270.26403683917 & 10278.7248098086 & -7476.39347226054 & 7476.39347226054 & -7476.39347226054 & 7476.39347226054 & -1869.09836806513 \\
-2657.10970968037 & 10628.4388387215 & -13430.7701762696 & 16233.1015138177 & -16233.1015138177 & 16233.1015138177 & -4058.27537845441 \\
-3954.03177459846 & 15816.1270983939 & -15816.1270983939 & 13013.7957608458 & -10211.4644232977 & 10211.4644232977 & -2552.86610582443 \\
-2325.45756017668 & 9301.83024070671 & -9301.83024070671 & 9301.83024070671 & -12104.1615782548 & 14906.4929158029 & -3726.62322895072 \\
-1665.81075466004 & 6663.24301864017 & -6663.24301864017 & 6663.24301864017 & -6663.24301864017 & 3860.91168109210 & -264.645085886005 \\
-1596.51916299641 & 6386.07665198562 & -6386.07665198562 & 6386.07665198562 & -6386.07665198562 & 6386.07665198562 & -4398.85050054448 \\
\end{bmatrix},
B = \begin{bmatrix}
33.1501 \\
29.2147 \\
49.7430 \\
55.4071 \\
43.2351 \\
22.8726 \\
24.9153 \\
\end{bmatrix},
C = \begin{bmatrix}
41.1585 & -164.6342 & 164.6342 & -164.6342 & 164.6342 & -164.6342 & 41.1585
\end{bmatrix}
D = \begin{bmatrix}
0
\end{bmatrix}.
Your task is to design a robust feedback controller using the loop shaping method that satisfies the following frequency-domain performance requirements:
-Closed-loop bandwidth: approximately 85 Hz (±10 Hz tolerance)
-Gain margin: greater than 1.5 dB
-Phase margin: greater than 60 degrees
| Xingang Guo |
XG_05 | 378 | Control Design | ## Task Description
In this task, you are required to design a feedback controller to regulate the temperature of a chemical reactor using a heat exchanger system. The reactor, modeled as a stirred tank, is shown in the accompanying figure. A liquid stream enters the tank from the top inlet and is continuously mixed. To maintain the liquid at a desired constant temperature, the amount of steam supplied to the heat exchanger (located at the bottom of the tank) must be adjusted. This is achieved by modulating the control valve that governs steam flow. Your objective is to design a controller that ensures the reactor temperature remains stable and responds effectively to disturbances and setpoint changes.
### Task 1
Your first task is to derive a first-order with delay transfer function $G(s) = exp(-theta*s)/(1+tau*s)$ to model the dynamics of the stirred tank. The second figure shows a measured step response of the stirred tank, with $t_1 = 23$ s and $t_2 = 36$ s. The values of $t_1$ and $t_2$ are the times where the step response reaches 28.3% and 63.2% of its final value, respectively. Please determine the value of $\theta$ and $\tau$ from the step response figure using the given information. Then the transfer function will be $G(s) = exp(-theta*s)/(1+tau*s)$.
### Task 2
Your second task is to design a feedback controller to regulate the temperature of the stirred tank using the model you derived in Task 1 that satisfies the following requirements:
- Gain margin: >= 7 dB
- Phase margin: >= 60 degrees
- Overshoot: <= 10% (for a step reference input)
- Settling time: <= 150 s (for a step reference input)
| Xingang Guo |
YF_05 | 454 | Structure Design | ## Task Description
In this task, you are required to determine a suitable cross-sectional area A for a specified member of a simple 2D truss, which will be evaluated using a static linear-elastic finite-element model in MATLAB.
The truss is defined by three nodes and three pin-connected members forming a right triangle:
You are given the following fixed parameters:
- Nodes (coordinates in mm):
Node1 - (0,0) (ux=uy=0)
Node2 - (1000,0)(uy = 0)
Node3 - (1000,1000)
- A vertical downward force of 4000 N applied at Node 3
- Members (element connectivity):
Node 1 → Node 2 (A = 100mm^2)
Node 2 → Node 3 ← design this member’s area
Node 1 → Node 3 (A = 100mm^2)
- Material properties:
- Young’s modulus: 210,000 MPa
- Poisson’s ratio: 0.3
Performance criterion:
The maximum nodal displacement must satisfy δ max < 0.5mm
The score depends on the ratio of the maximum measured angle to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the beam earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero.
---
### Task
Your task is to:
- a structurally sound value for the area A (in mm²) of the member between Node 2 and Node 3. You must have a numerical computation and analysis.
- Provide a brief justification for your choice of thickness, considering stiffness, loading, and geometric constraints
You do **not** need to evaluate the angle yourself. The simulation and evaluation will be done separately.
| Yufan Zhang |
YF_02 | 450 | Structure Design | ## Task Description
In this task, you are required to determine a suitable thickness (Th) of a rectangular steel beam subjected to a dual-point load, applied at the quarter-span locations, and evaluated using 3D structural simulation in MATLAB’s PDE Toolbox.
The beam is modeled as a simply supported rectangular beam with a solid rectangular cross-section (width × thickness). It is loaded vertically downward by two equal forces applied symmetrically at 1/4 and 3/4 of the beam span.
You are given the following fixed parameters:
- 'L = 1000' mm (Total span length)
- 'w = 40' mm (Beam width, constant)
- 'Height = Th' mm (Beam thickness to be designed)
- Two vertical downward forces: F = 1000 N each, applied at quarter-span locations
- Material properties:
- Young’s modulus: 210,000 MPa
- Poisson’s ratio: 0.3
The beam will be evaluated using a static linear elasticity model in 3D (extruded along the beam width). The performance criterion is that the maximum vertical displacement (uy) must be less than 1 mm under the given load.
The score depends on the ratio of the maximum measured displacement to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the beam earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero.
---
### Task
Your task is to:
- Propose a structurally sound value for `Th` (thickness of the beam, in mm)
- Provide a brief justification for your choice of thickness, considering stiffness, loading, and geometric constraints
You do **not** need to evaluate the displacement yourself. The simulation and evaluation will be done separately.
| Yufan Zhang |
qjlim2_01 | 356 | Signal Processing | ## Background
Microstrip patch antennas are widely used in modern wireless communication due to their low profile, ease of fabrication, and compatibility with printed circuit board (PCB) technology.
In this task, you are to design a rectangular microstrip patch antenna that satisfy the task objective and constraints stated below.
The antenna is centered at the origin with the patch facing the +z direction. The feed point lies in the x-y plane. The dielectric substrate must be selected from commercially available Rogers low-loss laminates, and the chosen material's permittivity will influence the design.
## Task Objective and Constraints
Your task is to design a rectangular microstrip patch antenna that meets the following specifications:
- Resonant Frequency: 1.537 GHz (S11 <= –10 dB)
- Bandwidth: >= 50 MHz
- Gain: >= 3 dBi
- Volume Constraint (Ground Plane Size) [ Length × Width × Height ]: <= 100 mm × 100 mm × 10 mm
- Feed Method: 50-ohm coaxial pin feed (from below substrate)
- Substrate Material: Rogers low-loss laminate (commercially available)
## Design Inputs
Determine the optimal design parameters to satisfy the task objectives:
- Length of Metallic Patch in mm: length_mm
- Width of Metallic Patch in mm: width_mm
- Substrate height in mm: height_mm
- Relative permittivity of selected Rogers material: epsilon_r
- Feed position in the x direction relative to patch center: feed_offset_x_mm
- Confidence of your design: confidence
## Design Context
- Ground Plane Size is 1.5 times max(Length, Width)
- Patch size (Length and Width) have to be smaller than ground plane size
| Qi Jian Lim
|
XZ_03 | 1,485 | Robotics | ## Task Description
You are given a Webots world file named 'construction_site.wbt' with some walls (obstacles) and a controller file named "robot_controller.py", you should first read the world info as shown below, then choose a path planning algorithm to generate the shortest path from start point to goal point without hitting any obstacles or walls. The path should satisfy the following requirements: The small inspection robot's starting position is at the site entrance (0,0,0), and we set the goal position to the far corner inspection point (49,39,0). Suppose this inspection robot can only navigate on grid nodes (i.e., x and y values are integers).
The construction_site.wbt contains the following world info:
#VRML_SIM R2025a utf8
EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackground.proto"
EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackgroundLight.proto"
WorldInfo {
basicTimeStep 16
}
Viewpoint {
orientation 0.57 -0.495 -0.656 4.55
position 3.88 -16.8 99.5
}
TexturedBackground {
}
TexturedBackgroundLight {
}
DEF FLOOR Solid {
translation 25 20 0
name "floor"
children [
Shape {
appearance PBRAppearance {
baseColor 0.8 0.8 0.8
roughness 1
metalness 0
}
geometry Box {
size 50 40 0.1
}
}
]
boundingObject Box {
size 50 40 0.1
}
}
# Vertical wall from (10,5) to (10,35)
DEF VERTICAL_WALL_1 Solid {
translation 10 20 0.5
name "vertical_wall_1"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 30 1
}
}
]
boundingObject Box {
size 1 30 1
}
}
# Horizontal wall from (10,20) to (40,20)
DEF HORIZONTAL_WALL Solid {
translation 25 20 0.5
name "horizontal_wall"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 30 1 1
}
}
]
boundingObject Box {
size 30 1 1
}
}
# Vertical wall from (30,0) to (30,15)
DEF VERTICAL_WALL_2 Solid {
translation 30 7.5 0.5
name "vertical_wall_2"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 15 1
}
}
]
boundingObject Box {
size 1 15 1
}
}
# Obstacle cluster from (20,25) to (25,30)
DEF OBSTACLE_CLUSTER Solid {
translation 22.5 27.5 0.5
name "obstacle_cluster"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 5 5 1
}
}
]
boundingObject Box {
size 5 5 1
}
}
# Random obstacles
DEF OBSTACLE_1 Solid {
translation 15 10 0.5
name "obstacle_1"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_2 Solid {
translation 25 5 0.5
name "obstacle_2"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_3 Solid {
translation 35 25 0.5
name "obstacle_3"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_4 Solid {
translation 40 30 0.5
name "obstacle_4"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_5 Solid {
translation 45 15 0.5
name "obstacle_5"
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
boundingObject Box {
size 1 1 1
}
}
# Robot node - simplified to avoid physical features
Robot {
translation 0 0 0.5
name "robot"
controller "robot_controller"
supervisor TRUE
children [
Shape {
appearance PBRAppearance {
baseColor 1 0 0
roughness 1
metalness 0
}
geometry Sphere {
radius 0.500000
subdivision 2
}
}
]
boundingObject Sphere {
radius 0.500000
}
}
## Required Results:
1. The complete path as an ordered list of coordinates from start to goal
2. The total path length (in meters)
3. The algorithm used (A*, Dijkstra, etc.)
4. The number of nodes explored during the search
5. Whether 4-connected or 8-connected movement was used | Xiayu Zhao
|
XZ_04 | 1,452 | Robotics | ## Task Description
You are given a Webots world file named 'construction_site.wbt' with some walls (obstacles) and a controller file named "robot_controller.py", you should first read the world info as shown below, then generate a trajectory from start point to goal point without hitting any obstacles. The trajectory should satisfy the following requirements:
1. The format of trajectory should be written as (t, X, Y, Z, v, a), where t is time, X, Y, Z are the 3D coordinates of the robot, v is velocity, a is acceleration. The small inspection robot's starting position is at the site entrance (0,0,0,0,0,0), and we set the goal position to the far corner inspection point (t,19,24,0,0,a).
2. The trajectory should follow the safety constraints:
2.1 In the WHITE_ZONE solid area, the robot's maximum speed should not exceed 1m/s;
2.2 In the RED_ZONE solid area, the robot's maximum speed should not exceed 2m/s;
2.3 In the GREEN_ZONE solid area, the robot's maximum speed should not exceed 0.5m/s;
3. The entire trajectory can only be in the above three zones, i.e., the robot can not move out from those zones.
The construction_site.wbt contains the following world info (the length unit is meter):
#VRML_SIM R2025a utf8
EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackground.proto"
EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackgroundLight.proto"
WorldInfo {
basicTimeStep 16
}
Viewpoint {
orientation 0.5228920141164687 -0.5800081356561211 -0.6246394993481706 4.784396651657472
position -24.923416016240427 -15.86980776668673 82.27805548757286
}
TexturedBackground {
}
TexturedBackgroundLight {
}
DEF WHITE_ZONE Solid {
translation 0.11 7.12 0
children [
Shape {
appearance PBRAppearance {
baseColor 0.8 0.8 0.8
roughness 1
metalness 0
}
geometry Box {
size 10 15 0.1
}
}
]
name "floor"
boundingObject Box {
size 10 15 0.1
}
}
DEF RED_ZONE Solid {
translation 0.11 22.11 0
children [
Shape {
appearance DEF red PBRAppearance {
baseColor 0.666667 0 0
roughness 1
metalness 0
}
geometry Box {
size 10 15 0.1
}
}
]
name "floor(1)"
boundingObject Box {
size 10 15 0.1
}
}
DEF GREEN_ZONE Solid {
translation 12.63 24.61 0
rotation 0 0 1 -1.5707953071795862
children [
Shape {
appearance DEF green PBRAppearance {
baseColor 0 0.333333 0
roughness 1
metalness 0
}
geometry Box {
size 10 15 0.1
}
}
]
name "floor(2)"
boundingObject Box {
size 10 15 0.1
}
}
DEF OBSTACLE_2 Solid {
translation -0.41 4.78 0.5
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
name "obstacle_4"
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_3 Solid {
translation 1.58 11.04 0.5
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
name "obstacle_5"
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_1 Solid {
translation 3.24 21.69 0.5
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
name "obstacle_5(1)"
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_4 Solid {
translation -1.76 18.4 0.5
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
name "obstacle_5(3)"
boundingObject Box {
size 1 1 1
}
}
DEF OBSTACLE_5 Solid {
translation 13.45 24.52 0.5
children [
Shape {
appearance PBRAppearance {
baseColor 0.6 0.6 0.6
}
geometry Box {
size 1 1 1
}
}
]
name "obstacle_5(2)"
boundingObject Box {
size 1 1 1
}
}
Robot {
translation 0 0 0.5
children [
Shape {
appearance PBRAppearance {
baseColor 1 0 0
roughness 1
metalness 0
}
geometry Sphere {
radius 0.5
subdivision 2
}
}
]
boundingObject Sphere {
radius 0.5
}
controller "robot_controller"
supervisor TRUE
}
## Required Results:
1. The complete trajectory from start to goal
2. The total travel time (in second)
3. The total path length (in meters)
4. The number of nodes explored during the search | Xiayu Zhao
|
YZ_04 | 340 | Mechanical Systems | ## Task Description
In this task, you are required to design a battery module for electric vehicle applications using the data from a Lithium-Ferrous-Phosphate (LFP) cell characterized at multiple temperatures. The battery must support a 100 A fast-charge for up to 20 minutes, and the resulting temperature rise in each cell should stay within specified limits. You will also design and parameterize a cooling system (with a parallel channel configuration) so that the maximum temperature gradient across the module and the pressure drop of the coolant remain within their respective constraints.
### Task 1
The given HPPC data uses a 40 A pulse, but your final design must handle a continuous charge rate of 100 A, so that you need to specify the following parameters of battery module to satisfy this need:
- numCells_p, the number of cells in the parallel set.
- numCells_s, the number of series connected parallel set.
### Task 2
Your second task is to determine the desired parameters for the cooling plate. As a result, the cooling plate you designed must keep the battery within reasonable temperatures during its operation. Below are the detailed requirements:
- The maximum rise in cell temperature must be lower than or equal to 10 degree Celsius.
- The maximum temperature gradient within a module must not exceed 5 degree Celsius.
- The maximum acceptable pressure drop for the module cooling system must be less than 20kPa.
The design parameters for the cooling plate you need to specify includes:
- NumChannel, the number of cooling channels.
- Flowrate, the applicable coolant flow-rate.
- ChannelDia, the channel diameter, typically < 0.01. | Yizhou Zhao |
YZ_03 | 619 | Signal Processing | ## Task Description
In this task, you are required to design a helical antenna for use in mobile and satellite communications, operating in axial mode to produce circularly polarized radiation along its main axis. The helical antenna must be designed to meet specific frequency and performance criteria. Your final goal is to determine appropriate parameters for a helical antenna implemented using the MATLAB antenna toolbox, ensuring it satisfies specific requirements in terms of directivity variation and axial ratio. Notably, the helix model in the toolbox uses a strip approximation, which relates the width of the strip to the radius of an equivalent cylinder.
### Task 1
Your first task is to calculate the relative bandwidth (less than 1), based on the following frequency range and center frequency:
- Frequency range: 1.3 - 2 GHz
- Center frequency: 1.65 GHz
### Task 2
Your second task is to determine a set of appropriate parameters for the helical antenna design using the MATLAB toolbox. Since the helix model in the toolbox has a circular ground plane, the radius of the ground plane should be set to half the side length of an equivalent square ground plane. Specifically, the parameters you need to consider include:
- $r$, the radius of cylinder, must be less than 0.0005
- $D$, the diameter of the helical antenna, must satisfy $0.05 < D < 0.06$.
- $turns$, the number of helical turns
- $pitch$, the pitch of the helix, which must be greater than 5 deg
- $side$, the side length of the square ground plane, must be greater than 0.3.
The helical antenna will be created and evaluated using the MATLAB code shown below. The frequency range and center frequency are given in Task 1:
</code_block>
% design helix antenna
width = cylinder2strip(r);
feedheight = 3*r;
radius = D/2;
spacing = helixpitch2spacing(pitch,radius);
radiusGP = side/2;
hx = helix(Radius=radius,Width=width,Turns=turns,...
Spacing=spacing,GroundPlaneRadius=radiusGP,...
FeedStubHeight=feedheight);
% directivity as a function of frequency
freq = [1.3e9, 1.65e9, 2.0e9];
Nf = length(freq);
D = nan(1, Nf);
for i = 1:Nf
D(i) = pattern(hx, freq(i), 0, 90);
end
% axial ratio at center frequency
ar_dB = axialRatio(hx, freq, 0, 90);
</code_block>
The helix antenna you design must satisfy the following requirements:
- r: < D / (20 * 3.14)
- Directivity range: 13 dBi +/- 1.5 dBi
- Axial Ratio: < 1.5 | Yizhou Zhao |
YJ_01 | 423 | Structure Design | ## Task Description
In this task, you are required to determine the optimal material distribution within a 2D design domain to minimize structural compliance under a given set of physical constraints. The domain is a fixed-size grid of **64 × 64 elements**. Each design must satisfy the specified boundary conditions, load configurations, and a volume fraction constraint.
## Input
The input consists of a 5-channel tensor, where each channel is a **64 × 64 NumPy array (.npy format)** representing:
- **Channel 1**: volume fraction, prescribed uniformly or non-uniformly across the grid.
- **Channel 2**: von Mises stress under the given loading and boundary conditions.
- **Channel 3**: Strain energy distribution under the same conditions.
- **Channel 4**: x-direction load magnitude at each node (0 if none).
- **Channel 5**: y-direction load magnitude at each node (0 if none).
The inputs are specified in five 64x64 numpy array:
- channel 1: A 64x64 matrix with each element equal 0.48
- channel 2:[[3.02378885e-06 4.47539433e-06 7.50072817e-06 1.20186311e-05
1.80109097e-05 2.54543330e-05 3.43215513e-05 4.45822821e-05
5.62047910e-05 6.91577125e-05 8.34122703e-05 9.89449821e-05
1.15740968e-04 1.33798037e-04 1.53131780e-04 1.73782043e-04
1.95821249e-04 2.19365323e-04 2.44588274e-04 2.71741967e-04
3.01183312e-04 3.33411876e-04 3.69121785e-04 4.09269653e-04
4.55158574e-04 5.08461270e-04 5.71189253e-04 6.43753731e-04
7.24444883e-04 8.61603091e-04 7.41751328e-03 1.26088212e-02
2.28558406e-02 1.90377994e-02 2.29648198e-03 5.74503268e-04
1.70806693e-04 5.59472407e-05 2.40936179e-05 2.09785195e-05
2.83383866e-05 3.92710245e-05 5.09601691e-05 6.22304338e-05
7.26109646e-05 8.19471512e-05 9.02286714e-05 9.75098238e-05
1.03871446e-04 1.09402429e-04 1.14190775e-04 1.18319405e-04
1.21864365e-04 1.24894237e-04 1.27470146e-04 1.29646034e-04
1.31469059e-04 1.32980001e-04 1.34213660e-04 1.35199214e-04
1.35960520e-04 1.36516369e-04 1.36880691e-04 1.35381261e-04]
[9.99441870e-06 1.12235038e-05 1.38634008e-05 1.78071154e-05
2.30355207e-05 2.95237637e-05 3.72418210e-05 4.61551870e-05
5.62256893e-05 6.74124195e-05 7.96727639e-05 9.29635022e-05
1.07241926e-04 1.22466889e-04 1.38599632e-04 1.55604117e-04
1.73446380e-04 1.92092012e-04 2.11500144e-04 2.31610822e-04
2.52319841e-04 2.73429263e-04 2.94549893e-04 3.14907379e-04
3.32953288e-04 3.45612379e-04 3.47097711e-04 3.29221765e-04
3.12111234e-04 6.08510000e-04 3.59270247e-03 5.18087526e-03
1.32168194e-02 1.43017050e-02 4.15618859e-03 1.41871086e-03
5.49137011e-04 2.31669836e-04 1.07999778e-04 5.93808033e-05
4.26299521e-05 4.00876239e-05 4.39482247e-05 5.05486821e-05
5.81038451e-05 6.57295301e-05 7.29931730e-05 7.96957838e-05
8.57614630e-05 9.11794279e-05 9.59727398e-05 1.00181083e-04
1.03851151e-04 1.07031244e-04 1.09768251e-04 1.12105984e-04
1.14084301e-04 1.15738676e-04 1.17100023e-04 1.18194668e-04
1.19044384e-04 1.19666461e-04 1.20073785e-04 1.20337789e-04]
[2.35636661e-05 2.45563331e-05 2.67028106e-05 2.99060067e-05
3.41460670e-05 3.93967071e-05 4.56253381e-05 5.27931715e-05
6.08552585e-05 6.97604009e-05 7.94508362e-05 8.98615592e-05
1.00919068e-04 1.12539220e-04 1.24623702e-04 1.37054362e-04
1.49684198e-04 1.62323090e-04 1.74715204e-04 1.86503157e-04
1.97171221e-04 2.05956267e-04 2.11713348e-04 2.12736162e-04
2.06620301e-04 1.90685736e-04 1.65312082e-04 1.51134218e-04
2.63334631e-04 9.20941119e-04 2.44746839e-03 3.68516374e-03
5.18872672e-03 6.36497638e-03 4.67760144e-03 2.25661676e-03
1.08103309e-03 5.35911749e-04 2.79099804e-04 1.54861824e-04
9.41517471e-05 6.52404603e-05 5.27898861e-05 4.90300096e-05
4.98626330e-05 5.30562941e-05 5.73679019e-05 6.20960998e-05
6.68450510e-05 7.13951408e-05 7.56300484e-05 7.94945337e-05
8.29694529e-05 8.60566908e-05 8.87699298e-05 9.11289252e-05
9.31559195e-05 9.48733840e-05 9.63025915e-05 9.74627149e-05
9.83702607e-05 9.90387162e-05 9.94783327e-05 9.97591586e-05]
[4.16295394e-05 4.23891394e-05 4.40496624e-05 4.65237958e-05
4.97912972e-05 5.38249610e-05 5.85903740e-05 6.40455261e-05
7.01402094e-05 7.68151090e-05 8.40004479e-05 9.16139943e-05
9.95581552e-05 1.07715765e-04 1.15944019e-04 1.24065760e-04
1.31857062e-04 1.39029690e-04 1.45206841e-04 1.49891012e-04
1.52425636e-04 1.51962080e-04 1.47475242e-04 1.37966593e-04
1.23280995e-04 1.06798444e-04 1.03599745e-04 1.62552795e-04
4.06523400e-04 9.86394132e-04 1.78374670e-03 2.44852323e-03
3.09814326e-03 3.61013837e-03 3.30263275e-03 2.31434577e-03
1.38602652e-03 8.04681374e-04 4.70304174e-04 2.82003698e-04
1.75940806e-04 1.16167394e-04 8.28164575e-05 6.47941377e-05
5.57792162e-05 5.20891459e-05 5.15337521e-05 5.27874077e-05
5.50369816e-05 5.77795579e-05 6.07034385e-05 6.36165751e-05
6.64026399e-05 6.89935579e-05 7.13520420e-05 7.34603211e-05
7.53127692e-05 7.69110295e-05 7.82607593e-05 7.93694404e-05
8.02449011e-05 8.08943190e-05 8.13235561e-05 8.15972663e-05]
[6.28043506e-05 6.33317118e-05 6.45084511e-05 6.62570029e-05
6.85570438e-05 7.13809340e-05 7.46931813e-05 7.84496781e-05
8.25966380e-05 8.70691320e-05 9.17890915e-05 9.66626042e-05
1.01576278e-04 1.06392396e-04 1.10942558e-04 1.15019509e-04
1.18367091e-04 1.20668876e-04 1.21537814e-04 1.20513575e-04
1.17084793e-04 1.10778431e-04 1.01417249e-04 8.97817565e-05
7.92062724e-05 7.91830708e-05 1.12506768e-04 2.24775284e-04
4.76587523e-04 8.80236310e-04 1.32859982e-03 1.70887193e-03
2.06440694e-03 2.35597015e-03 2.33335753e-03 1.93868583e-03
1.40315628e-03 9.40361914e-04 6.13516650e-04 3.99514561e-04
2.63633738e-04 1.78364548e-04 1.25188815e-04 9.22934977e-05
7.22593285e-05 6.04225455e-05 5.38282608e-05 5.05846143e-05
4.94673385e-05 4.96765694e-05 5.06857439e-05 5.21464951e-05
5.38279511e-05 5.55774453e-05 5.72947348e-05 5.89148629e-05
6.03966303e-05 6.17147546e-05 6.28544892e-05 6.38079028e-05
6.45712985e-05 6.51434252e-05 6.55242540e-05 6.57699295e-05]
[8.59151283e-05 8.62095004e-05 8.69013337e-05 8.79229117e-05
8.92542314e-05 9.08678555e-05 9.27281912e-05 9.47905077e-05
9.69996367e-05 9.92882860e-05 1.01574885e-04 1.03760883e-04
1.05727442e-04 1.07331535e-04 1.08401644e-04 1.08733659e-04
1.08088435e-04 1.06194270e-04 1.02761238e-04 9.75218274e-05
9.03273087e-05 8.13586461e-05 7.15654221e-05 6.35363223e-05
6.31073670e-05 8.19320611e-05 1.40196660e-04 2.64734202e-04
4.73105995e-04 7.44987039e-04 1.02115664e-03 1.26404811e-03
1.48750640e-03 1.66928411e-03 1.71064384e-03 1.55490925e-03
1.26543758e-03 9.51567463e-04 6.83433932e-04 4.80834304e-04
3.36772910e-04 2.37459280e-04 1.70093164e-04 1.24843620e-04
9.47045888e-05 7.48415115e-05 6.19596809e-05 5.38197404e-05
4.88961722e-05 4.61450322e-05 4.48481892e-05 4.45091888e-05
4.47834349e-05 4.54310914e-05 4.62850648e-05 4.72290501e-05
4.81823462e-05 4.90892683e-05 4.99117104e-05 5.06238947e-05
5.12086554e-05 5.16548189e-05 5.19553835e-05 5.21558529e-05]
[1.09995072e-04 1.10055300e-04 1.10260289e-04 1.10552438e-04
1.10912671e-04 1.11314695e-04 1.11724215e-04 1.12097926e-04
1.12382233e-04 1.12511723e-04 1.12407371e-04 1.11974584e-04
1.11101250e-04 1.09656207e-04 1.07488953e-04 1.04432174e-04
1.00310074e-04 9.49580202e-05 8.82635871e-05 8.02469800e-05
7.12121341e-05 6.20199264e-05 5.45591786e-05 5.24983455e-05
6.23136825e-05 9.42061151e-05 1.61499614e-04 2.75859632e-04
4.37162452e-04 6.25517604e-04 8.11038178e-04 9.78734036e-04
1.13128329e-03 1.25484628e-03 1.30460798e-03 1.24561986e-03
1.09128298e-03 8.90542783e-04 6.91177899e-04 5.20106518e-04
3.85148286e-04 2.83709608e-04 2.09598063e-04 1.56373036e-04
1.18577749e-04 9.19755466e-05 7.34150254e-05 6.06020212e-05
5.18834588e-05 4.60729358e-05 4.23194166e-05 4.00116502e-05
3.87097191e-05 3.80963535e-05 3.79423532e-05 3.80819893e-05
3.83954437e-05 3.87962229e-05 3.92221022e-05 3.96285939e-05
3.99842387e-05 4.02672316e-05 4.04630454e-05 4.06054038e-05]
[1.34271035e-04 1.34096754e-04 1.33814665e-04 1.33377704e-04
1.32768609e-04 1.31963557e-04 1.30931467e-04 1.29633156e-04
1.28020365e-04 1.26034740e-04 1.23606905e-04 1.20655871e-04
1.17089256e-04 1.12805095e-04 1.07696630e-04 1.01662410e-04
9.46256145e-05 8.65690750e-05 7.75963688e-05 6.80350069e-05
5.86045481e-05 5.06772072e-05 4.66501910e-05 5.03992811e-05
6.76357157e-05 1.05676268e-04 1.71761945e-04 2.69275748e-04
3.93213421e-04 5.29590167e-04 6.62797101e-04 7.85258425e-04
8.95578332e-04 9.84539893e-04 1.02972329e-03 1.01077905e-03
9.27723408e-04 8.01463281e-04 6.59841821e-04 5.24784817e-04
4.08053901e-04 3.13196190e-04 2.39068301e-04 1.82580011e-04
1.40244777e-04 1.08883844e-04 8.58599853e-05 6.90907827e-05
5.69766766e-05 4.83080573e-05 4.21780848e-05 3.79099583e-05
3.49996021e-05 3.30718554e-05 3.18475407e-05 3.11189287e-05
3.07315406e-05 3.05706739e-05 3.05514382e-05 3.06113953e-05
3.07051458e-05 3.08003835e-05 3.08750760e-05 3.09509514e-05]
[1.58147346e-04 1.57740032e-04 1.56974536e-04 1.55814875e-04
1.54246772e-04 1.52250584e-04 1.49800838e-04 1.46865737e-04
1.43406736e-04 1.39378345e-04 1.34728420e-04 1.29399370e-04
1.23330952e-04 1.16465743e-04 1.08758987e-04 1.00195450e-04
9.08172600e-05 8.07685963e-05 7.03652855e-05 6.01993668e-05
5.12882653e-05 4.52704134e-05 4.46234565e-05 5.28207475e-05
7.42324562e-05 1.13451720e-04 1.73751313e-04 2.54883967e-04
3.51542736e-04 4.54522988e-04 5.54964970e-04 6.48023026e-04
7.31091113e-04 7.97809541e-04 8.35949162e-04 8.33674732e-04
7.88208403e-04 7.08282621e-04 6.09261305e-04 5.06293024e-04
4.10148520e-04 3.26488793e-04 2.57054527e-04 2.01247227e-04
1.57373218e-04 1.23413697e-04 9.74259140e-05 7.77137701e-05
6.28731828e-05 5.17778260e-05 4.35415014e-05 3.74753306e-05
3.30478827e-05 2.98511722e-05 2.75729917e-05 2.59750066e-05
2.48757001e-05 2.41372357e-05 2.36554154e-05 2.33520562e-05
2.31692524e-05 2.30651129e-05 2.30106677e-05 2.30162206e-05]
[1.81186691e-04 1.80550446e-04 1.79310760e-04 1.77443324e-04
1.74938244e-04 1.71782062e-04 1.67957638e-04 1.63444162e-04
1.58217453e-04 1.52250780e-04 1.45516556e-04 1.37989466e-04
1.29651801e-04 1.20502230e-04 1.10569689e-04 9.99348328e-05
8.87622853e-05 7.73477602e-05 6.61844024e-05 5.60513640e-05
4.81223529e-05 4.40786948e-05 4.61849560e-05 5.72423592e-05
8.02878702e-05 1.17904624e-04 1.71151884e-04 2.38486452e-04
3.15468961e-04 3.95958950e-04 4.74376263e-04 5.47124691e-04
6.11476478e-04 6.62921642e-04 6.94316854e-04 6.98639363e-04
6.73188383e-04 6.21562592e-04 5.52134853e-04 4.74702914e-04
3.97628522e-04 3.26534558e-04 2.64336409e-04 2.11918727e-04
1.68909839e-04 1.34298576e-04 1.06841087e-04 8.52939849e-05
6.85292881e-05 5.55781770e-05 4.56361138e-05 3.80494056e-05
3.22946185e-05 2.79568140e-05 2.47094243e-05 2.22968566e-05
2.05200366e-05 1.92246944e-05 1.82920387e-05 1.76314406e-05
1.71747750e-05 1.68721239e-05 1.66886041e-05 1.66242740e-05]
[2.03089063e-04 2.02231073e-04 2.00532911e-04 1.97982487e-04
1.94575815e-04 1.90307763e-04 1.85172354e-04 1.79163384e-04
1.72275523e-04 1.64506211e-04 1.55858735e-04 1.46347097e-04
1.36003461e-04 1.24889299e-04 1.13111688e-04 1.00846564e-04
8.83709569e-05 7.61060354e-05 6.46715603e-05 5.49490602e-05
4.81441114e-05 4.58257111e-05 4.99023409e-05 6.24746443e-05
8.55003601e-05 1.20251206e-04 1.66667422e-04 2.22902527e-04
2.85450720e-04 3.50024362e-04 4.12751797e-04 4.70766817e-04
5.21602116e-04 5.61992327e-04 5.87530700e-04 5.93954358e-04
5.79231709e-04 5.44845081e-04 4.95420483e-04 4.37154258e-04
3.76083179e-04 3.16951760e-04 2.62836772e-04 2.15304762e-04
1.74801109e-04 1.41057963e-04 1.13422356e-04 9.10826957e-05
7.32077811e-05 5.90224530e-05 4.78420844e-05 3.90825875e-05
3.22571340e-05 2.69665513e-05 2.28874457e-05 1.97602481e-05
1.73782581e-05 1.55781281e-05 1.42318773e-05 1.32403657e-05
1.25280795e-05 1.20390676e-05 1.17338811e-05 1.16039171e-05]
[2.23669850e-04 2.22600517e-04 2.20466338e-04 2.17267845e-04
2.13008483e-04 2.07693524e-04 2.01330841e-04 1.93932130e-04
1.85514816e-04 1.76104924e-04 1.65741338e-04 1.54481992e-04
1.42412698e-04 1.29659476e-04 1.16405392e-04 1.02912898e-04
8.95523604e-05 7.68365688e-05 6.54589763e-05 5.63296798e-05
5.05969828e-05 4.96338336e-05 5.49595265e-05 6.80640100e-05
9.01169365e-05 1.21590227e-04 1.61902355e-04 2.09267515e-04
2.60918969e-04 3.13697431e-04 3.64707795e-04 4.11599097e-04
4.52265905e-04 4.84305229e-04 5.04882377e-04 5.11358258e-04
5.02348987e-04 4.78493636e-04 4.42439933e-04 3.98118892e-04
3.49756504e-04 3.01062452e-04 2.54798911e-04 2.12703595e-04
1.75633669e-04 1.43796122e-04 1.16975898e-04 9.47205850e-05
7.64721847e-05 6.16521512e-05 4.97110031e-05 4.01535814e-05
3.25488159e-05 2.65303556e-05 2.17922995e-05 1.80826907e-05
1.51963564e-05 1.29679726e-05 1.12658015e-05 9.98628917e-06
9.04957102e-06 8.39585888e-06 7.98265304e-06 7.79448077e-06]
[2.42838116e-04 2.41570887e-04 2.39029523e-04 2.35227343e-04
2.30176597e-04 2.23894805e-04 2.16405972e-04 2.07742382e-04
1.97947186e-04 1.87078053e-04 1.75212259e-04 1.62453641e-04
1.48941949e-04 1.34865118e-04 1.20474950e-04 1.06106382e-04
9.21998951e-05 7.93253698e-05 6.82035784e-05 5.97182682e-05
5.49075451e-05 5.49189185e-05 6.09104926e-05 7.38861053e-05
9.44707021e-05 1.22666640e-04 1.57672915e-04 1.97869515e-04
2.41029601e-04 2.84708897e-04 3.26629593e-04 3.64842746e-04
3.97599626e-04 4.23109720e-04 4.39492688e-04 4.45093658e-04
4.39028010e-04 4.21621758e-04 3.94478291e-04 3.60144345e-04
3.21558254e-04 2.81515996e-04 2.42309860e-04 2.05576963e-04
1.72313560e-04 1.42983678e-04 1.17660057e-04 9.61581405e-05
7.81449159e-05 6.32184245e-05 5.09609969e-05 4.09719026e-05
3.28852362e-05 2.63779303e-05 2.11715873e-05 1.70307307e-05
1.37592108e-05 1.11958665e-05 9.21010896e-06 7.69780902e-06
6.57768561e-06 5.78828675e-06 5.28559147e-06 5.04907683e-06]
[2.60575971e-04 2.59126934e-04 2.56212727e-04 2.51859308e-04
2.46088843e-04 2.38932532e-04 2.30432211e-04 2.20642608e-04
2.09634429e-04 1.97498515e-04 1.84351332e-04 1.70342084e-04
1.55661749e-04 1.40554212e-04 1.25329485e-04 1.10378531e-04
9.61884563e-05 8.33555780e-05 7.25921109e-05 6.47199693e-05
6.06429259e-05 6.12872465e-05 6.75029374e-05 7.99255741e-05
9.88141920e-05 1.23902006e-04 1.54314651e-04 1.88608884e-04
2.24948555e-04 2.61368645e-04 2.96018651e-04 3.27276838e-04
3.53710070e-04 3.73972045e-04 3.86789451e-04 3.91121830e-04
3.86441326e-04 3.72976106e-04 3.51773250e-04 3.24540984e-04
2.93340967e-04 2.60250647e-04 2.27095697e-04 1.95297986e-04
1.65834144e-04 1.39272400e-04 1.15850276e-04 9.55634906e-05
7.82478681e-05 6.36459071e-05 5.14562028e-05 4.13674248e-05
3.30799139e-05 2.63181200e-05 2.08366805e-05 1.64223443e-05
1.28933597e-05 1.00974594e-05 7.90919878e-06 6.22713586e-06
4.97115347e-06 4.08009920e-06 3.50984075e-06 3.23651245e-06]
[2.76919706e-04 2.75307033e-04 2.72058650e-04 2.67212648e-04
2.60801858e-04 2.52872078e-04 2.43483959e-04 2.32715577e-04
2.20665805e-04 2.07458655e-04 1.93248736e-04 1.78227964e-04
1.62633563e-04 1.46757256e-04 1.30955203e-04 1.15657778e-04
1.01377521e-04 8.87125860e-05 7.83417358e-05 7.10057584e-05
6.74694457e-05 6.84591192e-05 7.45742043e-05 8.61786964e-05
1.03289303e-04 1.25488641e-04 1.51897567e-04 1.81232349e-04
2.11945736e-04 2.42413771e-04 2.71103393e-04 2.96663312e-04
3.17927154e-04 3.33876675e-04 3.43640069e-04 3.46571154e-04
3.42389382e-04 3.31307549e-04 3.14071341e-04 2.91879186e-04
2.66207426e-04 2.38599991e-04 2.10482058e-04 1.83034136e-04
1.57135024e-04 1.33362014e-04 1.12028169e-04 9.32370659e-05
7.69403807e-05 6.29896000e-05 5.11779494e-05 4.12718420e-05
3.30328905e-05 2.62322485e-05 2.06591493e-05 1.61253084e-05
1.24665422e-05 9.54262661e-06 7.23614358e-06 5.45083305e-06
4.10980148e-06 3.15381494e-06 2.53982053e-06 2.24210658e-06]
[2.91943155e-04 2.90186467e-04 2.86645556e-04 2.81369769e-04
2.74402935e-04 2.65805781e-04 2.55657995e-04 2.44060940e-04
2.31141088e-04 2.17054238e-04 2.01990555e-04 1.86180400e-04
1.69900794e-04 1.53482144e-04 1.37314558e-04 1.21852581e-04
1.07616622e-04 9.51885616e-05 8.51984048e-05 7.82983655e-05
7.51211538e-05 7.62209086e-05 8.19988756e-05 9.26216838e-05
1.07946990e-04 1.27476762e-04 1.50358581e-04 1.75446387e-04
2.01413993e-04 2.26893704e-04 2.50600190e-04 2.71406854e-04
2.88368126e-04 3.00711879e-04 3.07840667e-04 3.09367645e-04
3.05181530e-04 2.95507128e-04 2.80922500e-04 2.62312130e-04
2.40763594e-04 2.17436186e-04 1.93435118e-04 1.69716305e-04
1.47032353e-04 1.25917522e-04 1.06701912e-04 8.95430003e-05
7.44641655e-05 6.13928050e-05 5.01938097e-05 4.06965733e-05
3.27153493e-05 2.60636535e-05 2.05637937e-05 1.60526609e-05
1.23848096e-05 9.43367842e-06 7.09161435e-06 5.26919598e-06
3.89421274e-06 2.91054916e-06 2.27714261e-06 1.96766665e-06]
[3.05743492e-04 3.03863213e-04 3.00073059e-04 2.94432377e-04
2.86995898e-04 2.77839019e-04 2.67059932e-04 2.54782321e-04
2.41158639e-04 2.26373944e-04 2.10650200e-04 1.94250900e-04
1.77485659e-04 1.60714282e-04 1.44349457e-04 1.28856900e-04
1.14751313e-04 1.02586136e-04 9.29347965e-05 8.63613454e-05
8.33791976e-05 8.43986381e-05 8.96668641e-05 9.92084073e-05
1.12777758e-04 1.29838070e-04 1.49577731e-04 1.70969023e-04
1.92861087e-04 2.14087407e-04 2.33562303e-04 2.50346216e-04
2.63674649e-04 2.72962642e-04 2.77805346e-04 2.77990181e-04
2.73520995e-04 2.64639996e-04 2.51828297e-04 2.35773005e-04
2.17302281e-04 1.97301790e-04 1.76630829e-04 1.56053896e-04
1.36196476e-04 1.17526304e-04 1.00355936e-04 8.48599140e-05
7.10997100e-05 5.90508982e-05 4.86288168e-05 3.97106350e-05
3.21530274e-05 2.58054926e-05 2.05198182e-05 1.61563871e-05
1.25880474e-05 9.70219862e-06 7.40164621e-06 5.60465968e-06
4.24456775e-06 3.26913584e-06 2.63990062e-06 2.33087105e-06]
[3.18429500e-04 3.16446271e-04 3.12450549e-04 3.06510106e-04
2.98690042e-04 2.89079609e-04 2.77794287e-04 2.64978320e-04
2.50807669e-04 2.35493248e-04 2.19284290e-04 2.02471543e-04
1.85389883e-04 1.68419728e-04 1.51986397e-04 1.36556321e-04
1.22628736e-04 1.10721369e-04 1.01348682e-04 9.49917226e-05
9.20597042e-05 9.28451655e-05 9.74770229e-05 1.05878454e-04
1.17738612e-04 1.32507410e-04 1.49419946e-04 1.67551222e-04
1.85893875e-04 2.03444561e-04 2.19281832e-04 2.32622012e-04
2.42848583e-04 2.49520599e-04 2.52371423e-04 2.51307641e-04
2.46411009e-04 2.37938505e-04 2.26311934e-04 2.12090733e-04
1.95927828e-04 1.78514740e-04 1.60525607e-04 1.42569438e-04
1.25156728e-04 1.08682436e-04 9.34237950e-05 7.95493583e-05
6.71349980e-05 5.61829927e-05 4.66412535e-05 3.84207892e-05
3.14104240e-05 2.54884640e-05 2.05314451e-05 1.64203381e-05
1.30446794e-05 1.03051033e-05 8.11470495e-06 6.39959912e-06
5.09896441e-06 4.16479959e-06 3.56155880e-06 3.26427086e-06]
[3.30112179e-04 3.28046357e-04 3.23888099e-04 3.17711727e-04
3.09591803e-04 2.99630117e-04 2.87957632e-04 2.74736750e-04
2.60163806e-04 2.44471590e-04 2.27931635e-04 2.10855937e-04
1.93597596e-04 1.76549770e-04 1.60142132e-04 1.44833893e-04
1.31102370e-04 1.19426109e-04 1.10261885e-04 1.04015485e-04
1.01007258e-04 1.01434865e-04 1.05337428e-04 1.12566843e-04
1.22772913e-04 1.35408316e-04 1.49756828e-04 1.64983732e-04
1.80202045e-04 1.94543842e-04 2.07224546e-04 2.17590566e-04
2.25146271e-04 2.29562625e-04 2.30673930e-04 2.28469358e-04
2.23082934e-04 2.14781518e-04 2.03947809e-04 1.91055638e-04
1.76637305e-04 1.61245863e-04 1.45417271e-04 1.29637623e-04
1.14319275e-04 9.97875093e-05 8.62773048e-05 7.39382839e-05
6.28452350e-05 5.30116204e-05 4.44039056e-05 3.69551661e-05
3.05770347e-05 2.51695628e-05 2.06289300e-05 1.68531677e-05
1.37461839e-05 1.12204173e-05 9.19844483e-06 7.61383140e-06
6.41146676e-06 5.54758548e-06 4.98962204e-06 4.71408089e-06]
[3.40897463e-04 3.38768743e-04 3.34489540e-04 3.28138625e-04
3.19798772e-04 3.09582581e-04 2.97634216e-04 2.84131345e-04
2.69287102e-04 2.53351821e-04 2.36614240e-04 2.19401757e-04
2.02079254e-04 1.85045876e-04 1.68729073e-04 1.53575185e-04
1.40035857e-04 1.28549786e-04 1.19519679e-04 1.13284945e-04
1.10091588e-04 1.10061906e-04 1.13167772e-04 1.19212150e-04
1.27823637e-04 1.38467866e-04 1.50477264e-04 1.63097321e-04
1.75543871e-04 1.87063145e-04 1.96985608e-04 2.04766372e-04
2.10008664e-04 2.12471115e-04 2.12062733e-04 2.08830409e-04
2.02942670e-04 1.94671334e-04 1.84370944e-04 1.72455398e-04
1.59371886e-04 1.45573547e-04 1.31493282e-04 1.17521417e-04
1.03989337e-04 9.11601106e-05 7.92259712e-05 6.83115577e-05
5.84813327e-05 4.97494627e-05 4.20906440e-05 3.54507016e-05
2.97561816e-05 2.49225123e-05 2.08605895e-05 1.74818328e-05
1.47018804e-05 1.24431436e-05 1.06364584e-05 9.22205943e-06
8.15007432e-06 7.38070429e-06 6.88422271e-06 6.63888561e-06]
[3.50880753e-04 3.48707920e-04 3.44347402e-04 3.37880143e-04
3.29395610e-04 3.19015143e-04 3.06893473e-04 2.93220298e-04
2.78221700e-04 2.62161102e-04 2.45339424e-04 2.28094005e-04
2.10795812e-04 1.93844391e-04 1.77659995e-04 1.62672385e-04
1.49305901e-04 1.37960685e-04 1.28990368e-04 1.22677134e-04
1.19205874e-04 1.18639947e-04 1.20901827e-04 1.25762302e-04
1.32841612e-04 1.41624850e-04 1.51491984e-04 1.61760307e-04
1.71734559e-04 1.80758204e-04 1.88258941e-04 1.93782834e-04
1.97014072e-04 1.97780372e-04 1.96046548e-04 1.91899915e-04
1.85531082e-04 1.77212613e-04 1.67276890e-04 1.56093779e-04
1.44048596e-04 1.31521150e-04 1.18867033e-04 1.06402376e-04
9.43930636e-05 8.30488492e-05 7.25221803e-05 6.29110406e-05
5.42647648e-05 4.65916942e-05 3.98676207e-05 3.40441698e-05
2.90565216e-05 2.48301109e-05 2.12861498e-05 1.83459697e-05
1.59342770e-05 1.39814750e-05 1.24252257e-05 1.12114242e-05
1.02947457e-05 9.63890129e-06 9.21671505e-06 9.00834299e-06]
[3.60142945e-04 3.57943755e-04 3.53539343e-04 3.47010427e-04
3.38451429e-04 3.27990113e-04 3.15788883e-04 3.02046017e-04
2.86996568e-04 2.70912610e-04 2.54102455e-04 2.36908415e-04
2.19702648e-04 2.02880638e-04 1.86851878e-04 1.72027440e-04
1.58804316e-04 1.47546705e-04 1.38564865e-04 1.32092681e-04
1.28265728e-04 1.27102169e-04 1.28489259e-04 1.32178286e-04
1.37790302e-04 1.44833942e-04 1.52734971e-04 1.60875254e-04
1.68637024e-04 1.75447118e-04 1.80815696e-04 1.84364996e-04
1.85845577e-04 1.85139811e-04 1.82254383e-04 1.77304758e-04
1.70494838e-04 1.62094580e-04 1.52417540e-04 1.41799574e-04
1.30579467e-04 1.19082043e-04 1.07604256e-04 9.64047103e-05
8.56968658e-05 7.56459186e-05 6.63690585e-05 5.79385189e-05
5.03866825e-05 4.37124488e-05 3.78881314e-05 3.28662823e-05
2.85860015e-05 2.49784576e-05 2.19714899e-05 1.94932755e-05
1.74751204e-05 1.58534863e-05 1.45713838e-05 1.35792668e-05
1.28355575e-05 1.23069131e-05 1.19683300e-05 1.18019344e-05]
[3.68747646e-04 3.66538831e-04 3.62125739e-04 3.55586393e-04
3.47018265e-04 3.36553043e-04 3.24357742e-04 3.10635651e-04
2.95626795e-04 2.79607587e-04 2.62889252e-04 2.45814642e-04
2.28752997e-04 2.12092294e-04 1.96228891e-04 1.81554322e-04
1.68439349e-04 1.57215679e-04 1.48156180e-04 1.41454865e-04
1.37208392e-04 1.35401220e-04 1.35896700e-04 1.38436274e-04
1.42648375e-04 1.48067600e-04 1.54163362e-04 1.60375723e-04
1.66154772e-04 1.70999101e-04 1.74488937e-04 1.76310317e-04
1.76268205e-04 1.74288250e-04 1.70408534e-04 1.64763768e-04
1.57564858e-04 1.49076583e-04 1.39595576e-04 1.29430133e-04
1.18882777e-04 1.08236055e-04 9.77417715e-05 8.76136790e-05
7.80234433e-05 6.90996015e-05 6.09290579e-05 5.35605693e-05
4.70096191e-05 4.12640869e-05 3.62901824e-05 3.20382121e-05
2.84478687e-05 2.54528466e-05 2.29846923e-05 2.09758832e-05
1.93621818e-05 1.80843561e-05 1.70893687e-05 1.63311466e-05
1.57710371e-05 1.53780426e-05 1.51289140e-05 1.50077815e-05]
[3.76739275e-04 3.74536660e-04 3.70148135e-04 3.63646514e-04
3.55130297e-04 3.44732464e-04 3.32621476e-04 3.19002014e-04
3.04115125e-04 2.88237418e-04 2.71678896e-04 2.54779045e-04
2.37900789e-04 2.21422025e-04 2.05724576e-04 1.91180551e-04
1.78136414e-04 1.66895332e-04 1.57698776e-04 1.50708697e-04
1.45991968e-04 1.43508966e-04 1.43108216e-04 1.44528715e-04
1.47410958e-04 1.51316748e-04 1.55756740e-04 1.60223447e-04
1.64226491e-04 1.67326315e-04 1.69162680e-04 1.69474990e-04
1.68112725e-04 1.65035718e-04 1.60305405e-04 1.54069158e-04
1.46540330e-04 1.37976597e-04 1.28658814e-04 1.18871981e-04
1.08889343e-04 9.89600607e-05 8.93005504e-05 8.00892625e-05
7.14645340e-05 6.35250190e-05 5.63321523e-05 4.99140854e-05
4.42705555e-05 3.93782004e-05 3.51959102e-05 3.16699007e-05
2.87382884e-05 2.63350366e-05 2.43932222e-05 2.28476303e-05
2.16367286e-05 2.07041006e-05 1.99994284e-05 1.94791206e-05
1.91066750e-05 1.88528557e-05 1.86957535e-05 1.86215308e-05]
[3.84141808e-04 3.81960528e-04 3.77628281e-04 3.71210147e-04
3.62803540e-04 3.52540013e-04 3.40586240e-04 3.27144665e-04
3.12453480e-04 2.96785539e-04 2.80445813e-04 2.63766986e-04
2.47102879e-04 2.30819458e-04 2.15283368e-04 2.00848125e-04
1.87838381e-04 1.76532975e-04 1.67147828e-04 1.59820018e-04
1.54594635e-04 1.51416079e-04 1.50125388e-04 1.50464804e-04
1.52090167e-04 1.54590894e-04 1.57516299e-04 1.60406079e-04
1.62822066e-04 1.64377970e-04 1.64764037e-04 1.63764168e-04
1.61264092e-04 1.57250450e-04 1.51801741e-04 1.45073036e-04
1.37276793e-04 1.28662180e-04 1.19495018e-04 1.10039944e-04
1.00545786e-04 9.12346359e-05 8.22946230e-05 7.38760968e-05
6.60907276e-05 5.90129408e-05 5.26830755e-05 4.71116916e-05
4.22845098e-05 3.81675542e-05 3.47121576e-05 3.18595879e-05
2.95451349e-05 2.77015779e-05 2.62620146e-05 2.51620760e-05
2.43415889e-05 2.37457601e-05 2.33259702e-05 2.30402613e-05
2.28536005e-05 2.27379882e-05 2.26724739e-05 2.26452958e-05]
[3.90957953e-04 3.88812746e-04 3.84567552e-04 3.78277195e-04
3.70035810e-04 3.59970739e-04 3.48243591e-04 3.35050945e-04
3.20624332e-04 3.05229071e-04 2.89161559e-04 2.72744638e-04
2.56320731e-04 2.40242577e-04 2.24861584e-04 2.10514015e-04
1.97505553e-04 1.86095034e-04 1.76478457e-04 1.68774626e-04
1.63013902e-04 1.59131587e-04 1.56967209e-04 1.56270647e-04
1.56715336e-04 1.57918086e-04 1.59464169e-04 1.60935566e-04
1.61939759e-04 1.62136174e-04 1.61257669e-04 1.59125004e-04
1.55653178e-04 1.50849556e-04 1.44804693e-04 1.37677549e-04
1.29677219e-04 1.21043371e-04 1.12027365e-04 1.02875586e-04
9.38159487e-05 8.50480590e-05 7.67370119e-05 6.90105155e-05
6.19588064e-05 5.56367338e-05 5.00673770e-05 4.52466091e-05
4.11481070e-05 3.77284023e-05 3.49316766e-05 3.26940972e-05
3.09475755e-05 2.96228997e-05 2.86522443e-05 2.79711004e-05
2.75196923e-05 2.72439591e-05 2.70961875e-05 2.70353736e-05
2.70273926e-05 2.70450388e-05 2.70679938e-05 2.70866396e-05]
[3.97168590e-04 3.95074161e-04 3.90946592e-04 3.84827930e-04
3.76806787e-04 3.67003440e-04 3.55571108e-04 3.42696868e-04
3.28601831e-04 3.13540111e-04 2.97796199e-04 2.81680339e-04
2.65521621e-04 2.49658677e-04 2.34428019e-04 2.20150355e-04
2.07115464e-04 1.95566519e-04 1.85684994e-04 1.77577498e-04
1.71265948e-04 1.66682449e-04 1.63669952e-04 1.61989369e-04
1.61333192e-04 1.61344939e-04 1.61643036e-04 1.61847130e-04
1.61604371e-04 1.60613145e-04 1.58641966e-04 1.55541800e-04
1.51250928e-04 1.45792352e-04 1.39264624e-04 1.31827638e-04
1.23685306e-04 1.15067142e-04 1.06210571e-04 9.73453639e-05
8.86811685e-05 8.03985448e-05 7.26435274e-05 6.55253869e-05
5.91170642e-05 5.34576480e-05 4.85562602e-05 4.43967647e-05
4.09428069e-05 3.81427991e-05 3.59345733e-05 3.42495221e-05
3.30161345e-05 3.21628957e-05 3.16205708e-05 3.13239271e-05
3.12129664e-05 3.12337500e-05 3.13389011e-05 3.14878639e-05
3.16469910e-05 3.17895233e-05 3.18955121e-05 3.19575770e-05]
[4.02732362e-04 4.00703796e-04 3.96725049e-04 3.90822886e-04
3.83078082e-04 3.73600929e-04 3.62532869e-04 3.50047802e-04
3.36352648e-04 3.21686700e-04 3.06319314e-04 2.90545549e-04
2.74679461e-04 2.59044953e-04 2.43964260e-04 2.29744449e-04
2.16662589e-04 2.04950510e-04 1.94780344e-04 1.86252168e-04
1.79385130e-04 1.74113294e-04 1.70287158e-04 1.67681318e-04
1.66008176e-04 1.64936895e-04 1.64116173e-04 1.63198876e-04
1.61866269e-04 1.59849541e-04 1.56946611e-04 1.53032759e-04
1.48064332e-04 1.42075655e-04 1.35169950e-04 1.27505730e-04
1.19280416e-04 1.10713026e-04 1.02027604e-04 9.34387140e-05
8.51398589e-05 7.72952642e-05 7.00350180e-05 6.34532731e-05
5.76089976e-05 5.25286665e-05 4.82102698e-05 4.46280686e-05
4.17376159e-05 3.94806694e-05 3.77897314e-05 3.65920492e-05
3.58129936e-05 3.53787971e-05 3.52186800e-05 3.52664253e-05
3.54614780e-05 3.57496554e-05 3.60835513e-05 3.64227147e-05
3.67336731e-05 3.69898616e-05 3.71715080e-05 3.72735793e-05]
[4.07585337e-04 4.05638546e-04 4.01841351e-04 3.96202725e-04
3.88793229e-04 3.79710171e-04 3.69079740e-04 3.57058894e-04
3.43836528e-04 3.29633452e-04 3.14700657e-04 2.99315476e-04
2.83775321e-04 2.68388872e-04 2.53464843e-04 2.39298708e-04
2.26158083e-04 2.14267750e-04 2.03795520e-04 1.94840303e-04
1.87423706e-04 1.81486363e-04 1.76889842e-04 1.73424495e-04
1.70823015e-04 1.68778839e-04 1.66967926e-04 1.65072017e-04
1.62801211e-04 1.59913761e-04 1.56231269e-04 1.51648011e-04
1.46133802e-04 1.39730572e-04 1.32543470e-04 1.24727843e-04
1.16473744e-04 1.07989641e-04 9.94868829e-05 9.11661416e-05
8.32066307e-05 7.57585106e-05 6.89384836e-05 6.28282992e-05
5.74756878e-05 5.28971400e-05 4.90819358e-05 4.59968694e-05
4.35912054e-05 4.18015018e-05 4.05560446e-05 3.97787350e-05
3.93923505e-05 3.93211682e-05 3.94929813e-05 3.98405721e-05
4.03027206e-05 4.08248341e-05 4.13592828e-05 4.18655208e-05
4.23100628e-05 4.26663750e-05 4.29147327e-05 4.30525639e-05]
[4.11640741e-04 4.09792932e-04 4.06212480e-04 4.00888069e-04
3.93877592e-04 3.85262274e-04 3.75149457e-04 3.63675253e-04
3.51006555e-04 3.37341885e-04 3.22910516e-04 3.07969428e-04
2.92797722e-04 2.77688362e-04 2.62937328e-04 2.48830588e-04
2.35629604e-04 2.23556396e-04 2.12779404e-04 2.03401553e-04
1.95451857e-04 1.88881760e-04 1.83567001e-04 1.79315319e-04
1.75879688e-04 1.72976147e-04 1.70304748e-04 1.67571741e-04
1.64510915e-04 1.60902110e-04 1.56585247e-04 1.51468716e-04
1.45531673e-04 1.38820442e-04 1.31439845e-04 1.23540751e-04
1.15305371e-04 1.06931882e-04 9.86198159e-05 9.05573212e-05
8.29110798e-05 7.58192300e-05 6.93873171e-05 6.36870084e-05
5.87571181e-05 5.46063938e-05 5.12174941e-05 4.85516300e-05
4.65534182e-05 4.51555972e-05 4.42833554e-05 4.38581169e-05
4.38007097e-05 4.40339030e-05 4.44843474e-05 4.50839795e-05
4.57709711e-05 4.64903066e-05 4.71940745e-05 4.78415514e-05
4.83991476e-05 4.88402744e-05 4.91451813e-05 4.93138572e-05]
[4.14788752e-04 4.13058895e-04 4.09733780e-04 4.04779309e-04
3.98238181e-04 3.90172329e-04 3.80666504e-04 3.69831878e-04
3.57809139e-04 3.44770468e-04 3.30919816e-04 3.16490941e-04
3.01742778e-04 2.86951934e-04 2.72402366e-04 2.58372609e-04
2.45121294e-04 2.32872006e-04 2.21798779e-04 2.12013703e-04
2.03558037e-04 1.96398066e-04 1.90426518e-04 1.85469817e-04
1.81300856e-04 1.77656288e-04 1.74256847e-04 1.70828787e-04
1.67124421e-04 1.62939810e-04 1.58128044e-04 1.52607065e-04
1.46361631e-04 1.39439686e-04 1.31943941e-04 1.24019922e-04
1.15841954e-04 1.07598551e-04 9.94785659e-05 9.16591610e-05
8.42962867e-05 7.75180312e-05 7.14208445e-05 6.60683919e-05
6.14926126e-05 5.76964614e-05 5.46577939e-05 5.23338895e-05
5.06661812e-05 4.95848508e-05 4.90130492e-05 4.88705874e-05
4.90770250e-05 4.95541414e-05 5.02278217e-05 5.10294167e-05
5.18966554e-05 5.27741924e-05 5.36138754e-05 5.43748083e-05
5.50232809e-05 5.55326229e-05 5.58830316e-05 5.60771221e-05]
[4.16896470e-04 4.15305745e-04 4.12278851e-04 4.07756441e-04
4.01763431e-04 3.94339144e-04 3.85541821e-04 3.75453370e-04
3.64183760e-04 3.51874421e-04 3.38699988e-04 3.24867737e-04
3.10614220e-04 2.96198767e-04 2.81893833e-04 2.67972520e-04
2.54693981e-04 2.42287795e-04 2.30938697e-04 2.20773210e-04
2.11849735e-04 2.04153390e-04 1.97596547e-04 1.92025348e-04
1.87231892e-04 1.82971082e-04 1.78980556e-04 1.75001786e-04
1.70800261e-04 1.66182845e-04 1.61010747e-04 1.55207120e-04
1.48758932e-04 1.41713396e-04 1.34169806e-04 1.26267994e-04
1.18174835e-04 1.10070238e-04 1.02133889e-04 9.45337555e-05
8.74170161e-05 8.09037290e-05 7.50832586e-05 7.00132180e-05
6.57205234e-05 6.22040667e-05 5.94384901e-05 5.73785793e-05
5.59638604e-05 5.51230737e-05 5.47782862e-05 5.48484950e-05
5.52526460e-05 5.59120520e-05 5.67522391e-05 5.77042789e-05
5.87056806e-05 5.97009246e-05 6.06417206e-05 6.14870631e-05
6.22031572e-05 6.27632683e-05 6.31475475e-05 6.33612480e-05]
[4.17808169e-04 4.16380362e-04 4.13699651e-04 4.09679038e-04
4.04323029e-04 3.97644927e-04 3.89672384e-04 3.80453444e-04
3.70062475e-04 3.58605279e-04 3.46222633e-04 3.33091513e-04
3.19423339e-04 3.05458796e-04 2.91459056e-04 2.77693651e-04
2.64425645e-04 2.51895231e-04 2.40303227e-04 2.29796166e-04
2.20454722e-04 2.12286960e-04 2.05227497e-04 1.99142987e-04
1.93843643e-04 1.89099756e-04 1.84661576e-04 1.80280522e-04
1.75729552e-04 1.70820714e-04 1.65418272e-04 1.59446426e-04
1.52891292e-04 1.45797482e-04 1.38260125e-04 1.30413594e-04
1.22418344e-04 1.14447283e-04 1.06672898e-04 9.92561229e-05
9.23375688e-05 8.60314194e-05 8.04219922e-05 7.55627345e-05
7.14772593e-05 6.81619454e-05 6.55896062e-05 6.37137605e-05
6.24731065e-05 6.17958818e-05 6.16038780e-05 6.18159656e-05
6.23510522e-05 6.31304581e-05 6.40797340e-05 6.51299763e-05
6.62187102e-05 6.72904208e-05 6.82968110e-05 6.91968607e-05
6.99567553e-05 7.05497395e-05 7.09559457e-05 7.11832034e-05]
[4.17346052e-04 4.16107864e-04 4.13826990e-04 4.10386503e-04
4.05767902e-04 3.99955028e-04 3.92940721e-04 3.84734301e-04
3.75369228e-04 3.64910222e-04 3.53458978e-04 3.41157594e-04
3.28188873e-04 3.14772853e-04 3.01159194e-04 2.87615511e-04
2.74412219e-04 2.61805029e-04 2.50016673e-04 2.39219775e-04
2.29522868e-04 2.20961352e-04 2.13494733e-04 2.07010740e-04
2.01336115e-04 1.96253016e-04 1.91519266e-04 1.86890254e-04
1.82140131e-04 1.77080157e-04 1.71572485e-04 1.65538357e-04
1.58960384e-04 1.51879274e-04 1.44385940e-04 1.36610284e-04
1.28708110e-04 1.20847601e-04 1.13196595e-04 1.05911605e-04
9.91292078e-05 9.29600580e-05 8.74855378e-05 8.27567851e-05
7.87957173e-05 7.55975804e-05 7.31345381e-05 7.13598489e-05
7.02122431e-05 6.96201903e-05 6.95058347e-05 6.97884549e-05
7.03873735e-05 7.12242993e-05 7.22251248e-05 7.33212315e-05
7.44503718e-05 7.55572033e-05 7.65935537e-05 7.75184888e-05
7.82982494e-05 7.89061137e-05 7.93222328e-05 7.95568596e-05]
[4.15311768e-04 4.14292988e-04 4.12471642e-04 4.09698827e-04
4.05930560e-04 4.01117846e-04 3.95214455e-04 3.88185888e-04
3.80018955e-04 3.70731185e-04 3.60379114e-04 3.49064420e-04
3.36936826e-04 3.24192856e-04 3.11069794e-04 2.97834679e-04
2.84768777e-04 2.72148617e-04 2.60225327e-04 2.49204452e-04
2.39228656e-04 2.30365526e-04 2.22602210e-04 2.15847792e-04
2.09943316e-04 2.04678361e-04 1.99812246e-04 1.95097352e-04
1.90301937e-04 1.85229992e-04 1.79736247e-04 1.73735199e-04
1.67203821e-04 1.60178389e-04 1.52746465e-04 1.45035431e-04
1.37199141e-04 1.29404176e-04 1.21816973e-04 1.14592786e-04
1.07867057e-04 1.01749484e-04 9.63207019e-05 9.16313599e-05
8.77031612e-05 8.45314086e-05 8.20885661e-05 8.03283909e-05
7.91902566e-05 7.86033632e-05 7.84906205e-05 7.87720618e-05
7.93677197e-05 8.01999436e-05 8.11951835e-05 8.22852906e-05
8.34083998e-05 8.45094715e-05 8.55405654e-05 8.64609195e-05
8.72368981e-05 8.78418643e-05 8.82560245e-05 8.84917978e-05]
[4.11489076e-04 4.10722560e-04 4.09426423e-04 4.07418124e-04
4.04626006e-04 4.00965118e-04 3.96345999e-04 3.90685134e-04
3.83916539e-04 3.76003724e-04 3.66950990e-04 3.56812849e-04
3.45700212e-04 3.33782062e-04 3.21281556e-04 3.08466050e-04
2.95631204e-04 2.83080191e-04 2.71099900e-04 2.59936683e-04
2.49774577e-04 2.40718863e-04 2.32787262e-04 2.25910105e-04
2.19939594e-04 2.14667012e-04 2.09845647e-04 2.05216531e-04
2.00533857e-04 1.95587217e-04 1.90218465e-04 1.84331915e-04
1.77897539e-04 1.70947721e-04 1.63568760e-04 1.55888734e-04
1.48063432e-04 1.40261983e-04 1.32653506e-04 1.25395758e-04
1.18626360e-04 1.12456820e-04 1.06969265e-04 1.02215588e-04
9.82185820e-05 9.49745542e-05 9.24569393e-05 9.06204597e-05
8.94054536e-05 8.87420769e-05 8.85541682e-05 8.87626431e-05
8.92883502e-05 9.00543743e-05 9.09878114e-05 9.20210648e-05
9.30927299e-05 9.41481415e-05 9.51396557e-05 9.60267390e-05
9.67759266e-05 9.73607048e-05 9.77613640e-05 9.79921170e-05]
[4.05648093e-04 4.05169459e-04 4.04469616e-04 4.03331307e-04
4.01653536e-04 3.99312779e-04 3.96172558e-04 3.92095233e-04
3.86955602e-04 3.80655611e-04 3.73139105e-04 3.64405215e-04
3.54518683e-04 3.43615370e-04 3.31901350e-04 3.19644512e-04
3.07158448e-04 2.94779469e-04 2.82838777e-04 2.71632835e-04
2.61395621e-04 2.52276506e-04 2.44326916e-04 2.37497743e-04
2.31647919e-04 2.26562909e-04 2.21980467e-04 2.17620097e-04
2.13212361e-04 2.08524568e-04 2.03380193e-04 1.97670546e-04
1.91358394e-04 1.84474269e-04 1.77106964e-04 1.69390102e-04
1.61486779e-04 1.53574057e-04 1.45828761e-04 1.38415569e-04
1.31477963e-04 1.25132176e-04 1.19464017e-04 1.14528182e-04
1.10349582e-04 1.06926147e-04 1.04232601e-04 1.02224744e-04
1.00843858e-04 1.00020966e-04 9.96807153e-05 9.97447839e-05
1.00134730e-04 1.00774292e-04 1.01591152e-04 1.02518232e-04
1.03494570e-04 1.04465876e-04 1.05384811e-04 1.06211087e-04
1.06911426e-04 1.07459455e-04 1.07835565e-04 1.08055268e-04]
[3.97551688e-04 3.97398646e-04 3.97370264e-04 3.97214368e-04
3.96799800e-04 3.95962734e-04 3.94516644e-04 3.92265095e-04
3.89017189e-04 3.84605123e-04 3.78902819e-04 3.71844044e-04
3.63437954e-04 3.53779663e-04 3.43053509e-04 3.31527133e-04
3.19535500e-04 3.07455364e-04 2.95672336e-04 2.84544197e-04
2.74365204e-04 2.65336377e-04 2.57546186e-04 2.50964513e-04
2.45450719e-04 2.40774417e-04 2.36645616e-04 2.32749692e-04
2.28782288e-04 2.24479743e-04 2.19641838e-04 2.14145139e-04
2.07946743e-04 2.01079505e-04 1.93640703e-04 1.85776482e-04
1.77664434e-04 1.69496382e-04 1.61462902e-04 1.53740644e-04
1.46482908e-04 1.39813584e-04 1.33824160e-04 1.28573360e-04
1.24088829e-04 1.20370296e-04 1.17393639e-04 1.15115413e-04
1.13477430e-04 1.12411127e-04 1.11841531e-04 1.11690688e-04
1.11880532e-04 1.12335181e-04 1.12982689e-04 1.13756333e-04
1.14595482e-04 1.15446136e-04 1.16261213e-04 1.17000634e-04
1.17631296e-04 1.18126958e-04 1.18468105e-04 1.18670940e-04]
[3.86964658e-04 3.87175851e-04 3.87895913e-04 3.88838784e-04
3.89843593e-04 3.90705895e-04 3.91187386e-04 3.91029116e-04
3.89968366e-04 3.87758967e-04 3.84194160e-04 3.79130303e-04
3.72508949e-04 3.64374120e-04 3.54881428e-04 3.44295997e-04
3.32977299e-04 3.21350806e-04 3.09868634e-04 2.98963616e-04
2.89002975e-04 2.80248445e-04 2.72829034e-04 2.66730663e-04
2.61804121e-04 2.57789597e-04 2.54353461e-04 2.51131227e-04
2.47770252e-04 2.43966511e-04 2.39491444e-04 2.34206950e-04
2.28068607e-04 2.21118764e-04 2.13472169e-04 2.05297142e-04
1.96795162e-04 1.88181241e-04 1.79666790e-04 1.71445984e-04
1.63686012e-04 1.56521127e-04 1.50050074e-04 1.44336285e-04
1.39410167e-04 1.35272814e-04 1.31900559e-04 1.29249870e-04
1.27262209e-04 1.25868582e-04 1.24993619e-04 1.24559059e-04
1.24486641e-04 1.24700393e-04 1.25128371e-04 1.25703919e-04
1.26366517e-04 1.27062299e-04 1.27744322e-04 1.28372642e-04
1.28914276e-04 1.29343080e-04 1.29639615e-04 1.29820045e-04]
[3.73666372e-04 3.74279625e-04 3.75823482e-04 3.77980696e-04
3.80562959e-04 3.83326964e-04 3.85982966e-04 3.88207469e-04
3.89660791e-04 3.90009760e-04 3.88954969e-04 3.86260968e-04
3.81786454e-04 3.75510426e-04 3.67549515e-04 3.58161831e-04
3.47733836e-04 3.36749096e-04 3.25740912e-04 3.15234249e-04
3.05685132e-04 2.97427005e-04 2.90632914e-04 2.85299751e-04
2.81256776e-04 2.78196216e-04 2.75719926e-04 2.73393793e-04
2.70801173e-04 2.67587899e-04 2.63493927e-04 2.58369549e-04
2.52176850e-04 2.44979005e-04 2.36921150e-04 2.28206770e-04
2.19073145e-04 2.09768601e-04 2.00533373e-04 1.91584984e-04
1.83108348e-04 1.75250243e-04 1.68117504e-04 1.61778129e-04
1.56264479e-04 1.51577810e-04 1.47693505e-04 1.44566494e-04
1.42136489e-04 1.40332788e-04 1.39078489e-04 1.38294062e-04
1.37900246e-04 1.37820326e-04 1.37981838e-04 1.38317788e-04
1.38767456e-04 1.39276879e-04 1.39799084e-04 1.40294138e-04
1.40729091e-04 1.41077842e-04 1.41320989e-04 1.41473824e-04]
[3.57467584e-04 3.58517425e-04 3.60953929e-04 3.64433532e-04
3.68745225e-04 3.73611532e-04 3.78694634e-04 3.83607193e-04
3.87929356e-04 3.91232982e-04 3.93113154e-04 3.93225635e-04
3.91327056e-04 3.87312725e-04 3.81245493e-04 3.73368629e-04
3.64096816e-04 3.53982277e-04 3.43657510e-04 3.33761176e-04
3.24858145e-04 3.17367059e-04 3.11508244e-04 3.07281184e-04
3.04474897e-04 3.02708102e-04 3.01490529e-04 3.00293618e-04
2.98618519e-04 2.96051529e-04 2.92300899e-04 2.87213120e-04
2.80770469e-04 2.73073976e-04 2.64317138e-04 2.54755594e-04
2.44677124e-04 2.34375088e-04 2.24127113e-04 2.14179705e-04
2.04738619e-04 1.95964283e-04 1.87971289e-04 1.80830885e-04
1.74575481e-04 1.69204317e-04 1.64689586e-04 1.60982533e-04
1.58019159e-04 1.55725317e-04 1.54021095e-04 1.52824447e-04
1.52054092e-04 1.51631751e-04 1.51483792e-04 1.51542378e-04
1.51746202e-04 1.52040913e-04 1.52379289e-04 1.52721255e-04
1.53033779e-04 1.53290723e-04 1.53472673e-04 1.53593137e-04]
[3.38231947e-04 3.39746332e-04 3.43131372e-04 3.48024751e-04
3.54200690e-04 3.61356143e-04 3.69112862e-04 3.77024470e-04
3.84591036e-04 3.91283304e-04 3.96577739e-04 4.00001757e-04
4.01185939e-04 3.99917056e-04 3.96183038e-04 3.90199519e-04
3.82408379e-04 3.73442237e-04 3.64055086e-04 3.55026896e-04
3.47057142e-04 3.40666377e-04 3.36124680e-04 3.33420641e-04
3.32275847e-04 3.32200052e-04 3.32574223e-04 3.32744318e-04
3.32108834e-04 3.30186999e-04 3.26660387e-04 3.21386873e-04
3.14390716e-04 3.05835472e-04 2.95987302e-04 2.85175579e-04
2.73756075e-04 2.62080105e-04 2.50471209e-04 2.39209581e-04
2.28523489e-04 2.18586464e-04 2.09518821e-04 2.01392160e-04
1.94235651e-04 1.88043155e-04 1.82780485e-04 1.78392300e-04
1.74808339e-04 1.71948838e-04 1.69729052e-04 1.68062930e-04
1.66865970e-04 1.66057376e-04 1.65561600e-04 1.65309383e-04
1.65238393e-04 1.65293555e-04 1.65427155e-04 1.65598791e-04
1.65775222e-04 1.65930183e-04 1.66044184e-04 1.66127975e-04]
[3.15902507e-04 3.17898712e-04 3.22267078e-04 3.28637284e-04
3.36780620e-04 3.46382069e-04 3.57036303e-04 3.68248578e-04
3.79444186e-04 3.89990193e-04 3.99232340e-04 4.06547904e-04
4.11411933e-04 4.13469831e-04 4.12604650e-04 4.08984171e-04
4.03072563e-04 3.95595515e-04 3.87456346e-04 3.79612195e-04
3.72930774e-04 3.68055487e-04 3.65306970e-04 3.64641512e-04
3.65673539e-04 3.67754353e-04 3.70087448e-04 3.71854939e-04
3.72330974e-04 3.70964755e-04 3.67425142e-04 3.61607783e-04
3.53612126e-04 3.43698911e-04 3.32238867e-04 3.19661583e-04
3.06410698e-04 2.92908728e-04 2.79532518e-04 2.66598634e-04
2.54357100e-04 2.42991510e-04 2.32623554e-04 2.23320257e-04
2.15102567e-04 2.07954273e-04 2.01830572e-04 1.96665856e-04
1.92380490e-04 1.88886506e-04 1.86092233e-04 1.83905927e-04
1.82238536e-04 1.81005707e-04 1.80129172e-04 1.79537635e-04
1.79167272e-04 1.78961937e-04 1.78873165e-04 1.78860035e-04
1.78888962e-04 1.78933456e-04 1.78973885e-04 1.79017243e-04]
[2.90532847e-04 2.93012620e-04 2.98368342e-04 3.06235940e-04
3.16400126e-04 3.28553518e-04 3.42284362e-04 3.57068225e-04
3.72268678e-04 3.87152751e-04 4.00926523e-04 4.12794208e-04
4.22039877e-04 4.28124615e-04 4.30784463e-04 4.30108067e-04
4.26570419e-04 4.21003207e-04 4.14494055e-04 4.08224524e-04
4.03275207e-04 4.00438911e-04 4.00084428e-04 4.02101969e-04
4.05940399e-04 4.10723127e-04 4.15411531e-04 4.18977453e-04
4.20550157e-04 4.19515173e-04 4.15557296e-04 4.08653005e-04
3.99025812e-04 3.87080905e-04 3.73334099e-04 3.58346292e-04
3.42670079e-04 3.26811171e-04 3.11204298e-04 2.96201531e-04
2.82070194e-04 2.68997446e-04 2.57099000e-04 2.46429919e-04
2.36996020e-04 2.28764864e-04 2.21675746e-04 2.15648371e-04
2.10590116e-04 2.06401912e-04 2.02982857e-04 2.00233725e-04
1.98059524e-04 1.96371278e-04 1.95087190e-04 1.94133320e-04
1.93443893e-04 1.92961353e-04 1.92636231e-04 1.92426907e-04
1.92299319e-04 1.92226660e-04 1.92189095e-04 1.92188860e-04]
[2.62321627e-04 2.65265868e-04 2.71571508e-04 2.80898505e-04
2.93066103e-04 3.07800944e-04 3.24714307e-04 3.43281170e-04
3.62827487e-04 3.82533861e-04 4.01464480e-04 4.18628814e-04
4.33078834e-04 4.44035958e-04 4.51030402e-04 4.54023932e-04
4.53479991e-04 4.50347949e-04 4.45943877e-04 4.41737003e-04
4.39081138e-04 4.38952592e-04 4.41760079e-04 4.47274495e-04
4.54692714e-04 4.62812378e-04 4.70267437e-04 4.75765309e-04
4.78276065e-04 4.77145253e-04 4.72125669e-04 4.63341919e-04
4.51211304e-04 4.36345873e-04 4.19455869e-04 4.01267766e-04
3.82463109e-04 3.63638942e-04 3.45287230e-04 3.27789116e-04
3.11419530e-04 2.96358185e-04 2.82703798e-04 2.70489263e-04
2.59696279e-04 2.50268568e-04 2.42123264e-04 2.35160350e-04
2.29270241e-04 2.24339676e-04 2.20256156e-04 2.16911168e-04
2.14202422e-04 2.12035306e-04 2.10323740e-04 2.08990577e-04
2.07967685e-04 2.07195792e-04 2.06624209e-04 2.06210456e-04
2.05919879e-04 2.05725273e-04 2.05606549e-04 2.05560229e-04]
[2.31647883e-04 2.35011369e-04 2.42177254e-04 2.52850316e-04
2.66909835e-04 2.84149788e-04 3.04243841e-04 3.26708355e-04
3.50870754e-04 3.75853972e-04 4.00590348e-04 4.23878697e-04
4.44493959e-04 4.61348171e-04 4.73684430e-04 4.81265461e-04
4.84502786e-04 4.84470799e-04 4.82769811e-04 4.81242693e-04
4.81599668e-04 4.85044998e-04 4.92008674e-04 5.02058141e-04
5.14009358e-04 5.26195832e-04 5.36812734e-04 5.44244153e-04
5.47302669e-04 5.45348118e-04 5.38289805e-04 5.26501970e-04
5.10692065e-04 4.91758200e-04 4.70661718e-04 4.48328782e-04
4.25584738e-04 4.03118357e-04 3.81469688e-04 3.61034456e-04
3.42078589e-04 3.24757789e-04 3.09138566e-04 2.95218428e-04
2.82943937e-04 2.72226070e-04 2.62952773e-04 2.54998887e-04
2.48233745e-04 2.42526804e-04 2.37751683e-04 2.33788905e-04
2.30527660e-04 2.27866815e-04 2.25715369e-04 2.23992513e-04
2.22627425e-04 2.21558886e-04 2.20734815e-04 2.20111752e-04
2.19654368e-04 2.19334999e-04 2.19133260e-04 2.19039093e-04]
[1.99102573e-04 2.02809575e-04 2.10684567e-04 2.22499665e-04
2.38222750e-04 2.57754391e-04 2.80879997e-04 3.07214075e-04
3.36143907e-04 3.66785412e-04 3.97969708e-04 4.28282778e-04
4.56178735e-04 4.80175577e-04 4.99118499e-04 5.12463017e-04
5.20498771e-04 5.24422117e-04 5.26187790e-04 5.28131144e-04
5.32435987e-04 5.40593452e-04 5.53017794e-04 5.68939166e-04
5.86598645e-04 6.03669669e-04 6.17766347e-04 6.26894263e-04
6.29744348e-04 6.25796961e-04 6.15261549e-04 5.98910132e-04
5.77868518e-04 5.53416105e-04 5.26824731e-04 4.99247872e-04
4.71658029e-04 4.44822912e-04 4.19308892e-04 3.95500978e-04
3.73630916e-04 3.53807488e-04 3.36045366e-04 3.20290575e-04
3.06441784e-04 2.94367392e-04 2.83918752e-04 2.74940087e-04
2.67275671e-04 2.60774839e-04 2.55295311e-04 2.50705240e-04
2.46884325e-04 2.43724242e-04 2.41128613e-04 2.39012655e-04
2.37302643e-04 2.35935269e-04 2.34856957e-04 2.34023203e-04
2.33397952e-04 2.32953052e-04 2.32667799e-04 2.32524815e-04]
[1.65509606e-04 1.69451568e-04 1.77817683e-04 1.90469421e-04
2.07492193e-04 2.28935701e-04 2.54754760e-04 2.84734059e-04
3.18402336e-04 3.54949120e-04 3.93167307e-04 4.31454804e-04
4.67912745e-04 5.00568516e-04 5.27721711e-04 5.48359924e-04
5.62532448e-04 5.71532408e-04 5.77755970e-04 5.84197979e-04
5.93684778e-04 6.08073953e-04 6.27694546e-04 6.51224382e-04
6.76035138e-04 6.98863131e-04 7.16566499e-04 7.26740669e-04
7.28057379e-04 7.20309316e-04 7.04230466e-04 6.81199019e-04
6.52921413e-04 6.21163759e-04 5.87560637e-04 5.53503411e-04
5.20094341e-04 4.88147134e-04 4.58215448e-04 4.30634781e-04
4.05567738e-04 3.83046661e-04 3.63010631e-04 3.45335775e-04
3.29859029e-04 3.16396082e-04 3.04754452e-04 2.94742677e-04
2.86176503e-04 2.78882813e-04 2.72701900e-04 2.67488569e-04
2.63112412e-04 2.59457541e-04 2.56421981e-04 2.53916851e-04
2.51865457e-04 2.50202365e-04 2.48872494e-04 2.47830286e-04
2.47038959e-04 2.46469871e-04 2.46102002e-04 2.45910082e-04]
[1.31927190e-04 1.35963938e-04 1.44537763e-04 1.57617697e-04
1.75431724e-04 1.98219563e-04 2.26166876e-04 2.59313471e-04
2.97436308e-04 3.39917483e-04 3.85622538e-04 4.32833599e-04
4.79298289e-04 5.22455904e-04 5.59870444e-04 5.89825677e-04
6.11932752e-04 6.27511877e-04 6.39504810e-04 6.51804464e-04
6.68129576e-04 6.90813986e-04 7.19974122e-04 7.53385522e-04
7.87098940e-04 8.16524369e-04 8.37564797e-04 8.47439351e-04
8.45026282e-04 8.30758921e-04 8.06233435e-04 7.73713985e-04
7.35678334e-04 6.94479797e-04 6.52142950e-04 6.10274458e-04
5.70055960e-04 5.32285971e-04 4.97444639e-04 4.65763739e-04
4.37291723e-04 4.11949027e-04 3.89572317e-04 3.69948233e-04
3.52838106e-04 3.37995376e-04 3.25177360e-04 3.14152801e-04
3.04706359e-04 2.96640948e-04 2.89778602e-04 2.83960363e-04
2.79045562e-04 2.74910738e-04 2.71448378e-04 2.68565597e-04
2.66182830e-04 2.64232605e-04 2.62658413e-04 2.61413703e-04
2.60461013e-04 2.59771248e-04 2.59323092e-04 2.59083022e-04]
[9.96185561e-05 1.03584553e-04 1.12028611e-04 1.25037618e-04
1.42997473e-04 1.66369607e-04 1.95627398e-04 2.31156461e-04
2.73111298e-04 3.21229916e-04 3.74627325e-04 4.31619641e-04
4.89667244e-04 5.45549841e-04 5.95866518e-04 6.37857522e-04
6.70369060e-04 6.94591629e-04 7.14127684e-04 7.34114329e-04
7.59542462e-04 7.93377317e-04 8.35290330e-04 8.81576107e-04
9.26265776e-04 9.62904796e-04 9.86251563e-04 9.93332860e-04
9.83678306e-04 9.58899881e-04 9.21941986e-04 8.76306124e-04
8.25438380e-04 7.72344367e-04 7.19412087e-04 6.68385562e-04
6.20427962e-04 5.76225346e-04 5.36098682e-04 5.00106254e-04
4.68128689e-04 4.39935074e-04 4.15231792e-04 3.93697017e-04
3.75004033e-04 3.58836211e-04 3.44896012e-04 3.32909818e-04
3.22629970e-04 3.13834989e-04 3.06328688e-04 2.99938623e-04
2.94514242e-04 2.89924904e-04 2.86057932e-04 2.82816758e-04
2.80119216e-04 2.77896016e-04 2.76089388e-04 2.74651919e-04
2.73545565e-04 2.72740843e-04 2.72216192e-04 2.71929710e-04]
[6.99807729e-05 7.36975970e-05 8.16443354e-05 9.40237549e-05
1.11378686e-04 1.34404951e-04 1.63903192e-04 2.00687314e-04
2.45430207e-04 2.98432235e-04 3.59317140e-04 4.26701502e-04
4.97948939e-04 5.69190466e-04 6.35816938e-04 6.93552002e-04
7.39942878e-04 7.75725550e-04 8.05265517e-04 8.35452891e-04
8.73145318e-04 9.22164514e-04 9.81310114e-04 1.04442095e-03
1.10241864e-03 1.14626476e-03 1.16948769e-03 1.16942019e-03
1.14706347e-03 1.10605142e-03 1.05133523e-03 9.88048439e-04
9.20756640e-04 8.53094345e-04 7.87690882e-04 7.26267337e-04
6.69808928e-04 6.18750799e-04 5.73144494e-04 5.32792368e-04
4.97348641e-04 4.66391261e-04 4.39470485e-04 4.16140068e-04
3.95976005e-04 3.78586762e-04 3.63617852e-04 3.50752845e-04
3.39712212e-04 3.30250974e-04 3.22155760e-04 3.15241682e-04
3.09349246e-04 3.04341454e-04 3.00101143e-04 2.96528613e-04
2.93539539e-04 2.91063149e-04 2.89040675e-04 2.87424033e-04
2.86174740e-04 2.85263028e-04 2.84667160e-04 2.84336995e-04]
[4.44231827e-05 4.77184301e-05 5.48076821e-05 6.59919679e-05
8.19477161e-05 1.03588251e-04 1.32047280e-04 1.68619246e-04
2.14623842e-04 2.71156516e-04 3.38696501e-04 4.16586688e-04
5.02493870e-04 5.92100638e-04 6.79409273e-04 7.58007937e-04
8.23286445e-04 8.74880486e-04 9.17940817e-04 9.61865168e-04
1.01633912e-03 1.08637721e-03 1.16911040e-03 1.25424373e-03
1.32788137e-03 1.37750905e-03 1.39567322e-03 1.38113389e-03
1.33779876e-03 1.27257363e-03 1.19322652e-03 1.10687335e-03
1.01920408e-03 9.34288684e-04 8.54727614e-04 7.81949682e-04
7.16532321e-04 6.58481638e-04 6.07451016e-04 5.62899009e-04
5.24196555e-04 4.90695669e-04 4.61770668e-04 4.36840762e-04
4.15380529e-04 3.96922886e-04 3.81057637e-04 3.67427645e-04
3.55723892e-04 3.45680220e-04 3.37068174e-04 3.29692224e-04
3.23385441e-04 3.18005684e-04 3.13432280e-04 3.09563160e-04
3.06312423e-04 3.03608267e-04 3.01391261e-04 2.99612904e-04
2.98234455e-04 2.97225991e-04 2.96565684e-04 2.96195584e-04]
[2.41942825e-05 2.69253858e-05 3.28528885e-05 4.23419706e-05
5.61540378e-05 7.53652387e-05 1.01397424e-04 1.36018858e-04
1.81271885e-04 2.39263029e-04 3.11736701e-04 3.99374462e-04
5.00864531e-04 6.12014810e-04 7.25505972e-04 8.32082264e-04
9.23637089e-04 9.97453094e-04 1.05923519e-03 1.12200779e-03
1.19989311e-03 1.29961755e-03 1.41511853e-03 1.52900858e-03
1.61990520e-03 1.67090781e-03 1.67468669e-03 1.63372850e-03
1.55722410e-03 1.45705464e-03 1.34462880e-03 1.22915616e-03
1.11714286e-03 1.01262316e-03 9.17697447e-04 8.33108548e-04
7.58730847e-04 6.93936662e-04 6.37848226e-04 5.89499293e-04
5.47931767e-04 5.12248618e-04 4.81638878e-04 4.55385749e-04
4.32865057e-04 4.13538690e-04 3.96945801e-04 3.82693433e-04
3.70447461e-04 3.59924287e-04 3.50883477e-04 3.43121367e-04
3.36465591e-04 3.30770435e-04 3.25912928e-04 3.21789567e-04
3.18313587e-04 3.15412691e-04 3.13027184e-04 3.11108444e-04
3.09617687e-04 3.08524989e-04 3.07808536e-04 3.07403275e-04]
[1.01689779e-05 1.22481680e-05 1.68180203e-05 2.42605444e-05
3.53511663e-05 5.12352044e-05 7.35158439e-05 1.04340520e-04
1.46443674e-04 2.03064218e-04 2.77603469e-04 3.72850288e-04
4.89649505e-04 6.25159346e-04 7.71438530e-04 9.15841817e-04
1.04479906e-03 1.15086052e-03 1.23937427e-03 1.32862060e-03
1.43995369e-03 1.58264218e-03 1.74441448e-03 1.89543577e-03
2.00274334e-03 2.04470938e-03 2.01723495e-03 1.93094459e-03
1.80396817e-03 1.65514994e-03 1.49999476e-03 1.34932016e-03
1.20959346e-03 1.08395350e-03 9.73300505e-04 8.77187202e-04
7.94452866e-04 7.23633483e-04 6.63206275e-04 6.11722887e-04
5.67872769e-04 5.30505377e-04 4.98629679e-04 4.71402280e-04
4.48110816e-04 4.28156263e-04 4.11036092e-04 3.96329115e-04
3.83682321e-04 3.72799667e-04 3.63432686e-04 3.55372663e-04
3.48444177e-04 3.42499769e-04 3.37415566e-04 3.33087687e-04
3.29429298e-04 3.26368205e-04 3.23844895e-04 3.21810952e-04
3.20227789e-04 3.19065650e-04 3.18302852e-04 3.17868230e-04]
[2.62655769e-06 4.04095359e-06 7.20996693e-06 1.24800893e-05
2.05584739e-05 3.25377305e-05 5.00374597e-05 7.53861853e-05
1.11820496e-04 1.63629800e-04 2.36083837e-04 3.34845268e-04
4.64457562e-04 6.25632342e-04 8.11844932e-04 1.00740777e-03
1.19076333e-03 1.34534091e-03 1.47351335e-03 1.60104481e-03
1.76158134e-03 1.96831252e-03 2.19654695e-03 2.39404298e-03
2.51033132e-03 2.52107253e-03 2.43288704e-03 2.27243077e-03
2.07172502e-03 1.85811467e-03 1.65047922e-03 1.45961890e-03
1.29031173e-03 1.14349981e-03 1.01799311e-03 9.11604979e-04
8.21831035e-04 7.46215988e-04 6.82527707e-04 6.28820851e-04
5.83441997e-04 5.45006887e-04 5.12366802e-04 4.84573000e-04
4.60843463e-04 4.40533689e-04 4.23111920e-04 4.08138589e-04
3.95249475e-04 3.84142021e-04 3.74564264e-04 3.66305899e-04
3.59191069e-04 3.53072556e-04 3.47827077e-04 3.43351498e-04
3.39559760e-04 3.36380403e-04 3.33754565e-04 3.31634387e-04
3.29981731e-04 3.28767192e-04 3.27969344e-04 3.27512160e-04]
[1.07040085e-06 1.89010219e-06 3.78896929e-06 7.03264438e-06
1.21850187e-05 2.01609500e-05 3.24007476e-05 5.11295433e-05
7.97161971e-05 1.23112009e-04 1.88250338e-04 2.84067933e-04
4.20436887e-04 6.04950772e-04 8.36938235e-04 1.10064327e-03
1.36441264e-03 1.59490280e-03 1.78475946e-03 1.96971861e-03
2.20528281e-03 2.51095455e-03 2.83615680e-03 3.08725275e-03
3.18905920e-03 3.12385247e-03 2.92545521e-03 2.64927437e-03
2.34622711e-03 2.05132438e-03 1.78356081e-03 1.55034695e-03
1.35222149e-03 1.18629713e-03 1.04836879e-03 9.34046755e-04
8.39288076e-04 7.60594143e-04 6.95039489e-04 6.40224902e-04
5.94203542e-04 5.55403728e-04 5.22558618e-04 4.94646282e-04
4.70840415e-04 4.50470654e-04 4.32991004e-04 4.17954868e-04
4.04995372e-04 3.93809869e-04 3.84147715e-04 3.75800619e-04
3.68594991e-04 3.62385871e-04 3.57052086e-04 3.52492383e-04
3.48622331e-04 3.45371844e-04 3.42683192e-04 3.40509425e-04
3.38813121e-04 3.37565415e-04 3.36745268e-04 3.36273274e-04]
[4.15652037e-06 4.52493502e-06 5.44299469e-06 7.06964209e-06
9.77953070e-06 1.42169499e-05 2.14683657e-05 3.33492759e-05
5.28653681e-05 8.49155574e-05 1.37261233e-04 2.21587764e-04
3.53924409e-04 5.52566036e-04 8.30475902e-04 1.18083674e-03
1.56392687e-03 1.91795693e-03 2.20941651e-03 2.48459095e-03
2.83980211e-03 3.30511290e-03 3.77313041e-03 4.07189936e-03
4.09831452e-03 3.87086538e-03 3.48360191e-03 3.03727415e-03
2.60201510e-03 2.21356906e-03 1.88359410e-03 1.61078539e-03
1.38831919e-03 1.20790582e-03 1.06166025e-03 9.42794151e-04
8.45744796e-04 7.66069640e-04 7.00266433e-04 6.45589148e-04
5.99886388e-04 5.61469014e-04 5.29005516e-04 5.01440872e-04
4.77934259e-04 4.57811416e-04 4.40528260e-04 4.25643043e-04
4.12794971e-04 4.01687739e-04 3.92076779e-04 3.83759334e-04
3.76566701e-04 3.70358134e-04 3.65016034e-04 3.60442131e-04
3.56554452e-04 3.53284912e-04 3.50577389e-04 3.48386198e-04
3.46674898e-04 3.45415358e-04 3.44587060e-04 3.44108889e-04]
[9.79960906e-06 9.90496844e-06 1.02344328e-05 1.08416019e-05
1.19110787e-05 1.37892323e-05 1.71176816e-05 2.30798326e-05
3.38507375e-05 5.34015269e-05 8.88814715e-05 1.52805049e-04
2.65919639e-04 4.59134669e-04 7.69103192e-04 1.21767420e-03
1.77374158e-03 2.33566302e-03 2.80620730e-03 3.23180964e-03
3.78882596e-03 4.52602401e-03 5.20202214e-03 5.49589205e-03
5.30116983e-03 4.75362865e-03 4.06457473e-03 3.38909904e-03
2.80100628e-03 2.31852894e-03 1.93397582e-03 1.63108640e-03
1.39302850e-03 1.20528666e-03 1.05626446e-03 9.37022295e-04
8.40778169e-04 7.62414477e-04 6.98066645e-04 6.44803576e-04
6.00387711e-04 5.63097716e-04 5.31598304e-04 5.04844719e-04
4.82012482e-04 4.62445461e-04 4.45617266e-04 4.31102349e-04
4.18554219e-04 4.07688903e-04 3.98272298e-04 3.90110425e-04
3.83041877e-04 3.76931929e-04 3.71667913e-04 3.67155573e-04
3.63316185e-04 3.60084263e-04 3.57405750e-04 3.55236581e-04
3.53541558e-04 3.52293479e-04 3.51472484e-04 3.50997562e-04]
[1.55020280e-05 1.55366200e-05 1.56880580e-05 1.59316330e-05
1.63076164e-05 1.69159613e-05 1.79885679e-05 2.00411501e-05
2.41907802e-05 3.28160013e-05 5.09122966e-05 8.87973356e-05
1.67171882e-04 3.25230756e-04 6.28414557e-04 1.15770672e-03
1.94381827e-03 2.86102291e-03 3.67200307e-03 4.36847861e-03
5.29262387e-03 6.52352345e-03 7.48112012e-03 7.56857594e-03
6.82259444e-03 5.69440979e-03 4.57235627e-03 3.63113236e-03
2.89669250e-03 2.34005754e-03 1.92124241e-03 1.60490834e-03
1.36371511e-03 1.17759844e-03 1.03212609e-03 9.16961605e-04
8.24673253e-04 7.49873529e-04 6.88615559e-04 6.37974143e-04
5.95755166e-04 5.60293121e-04 5.30308964e-04 5.04809385e-04
4.83014722e-04 4.64306832e-04 4.48191000e-04 4.34267827e-04
4.22212275e-04 4.11757894e-04 4.02684855e-04 3.94810782e-04
3.87983684e-04 3.82076457e-04 3.76982589e-04 3.72612780e-04
3.68892278e-04 3.65758766e-04 3.63160690e-04 3.61055945e-04
3.59410836e-04 3.58199285e-04 3.57402226e-04 3.56940650e-04]
[1.88982068e-05 1.90196581e-05 1.93381692e-05 1.97921516e-05
2.03529700e-05 2.09860134e-05 2.16660814e-05 2.24245944e-05
2.34785997e-05 2.55651670e-05 3.07859883e-05 4.47075270e-05
8.14736904e-05 1.75690409e-04 4.06860865e-04 9.31589126e-04
1.95131858e-03 3.45449014e-03 4.96479337e-03 6.20095785e-03
7.85839498e-03 1.00741496e-02 1.12809199e-02 1.05038354e-02
8.52799539e-03 6.47268264e-03 4.85046710e-03 3.67667021e-03
2.84806483e-03 2.26149179e-03 1.84023366e-03 1.53193410e-03
1.30176332e-03 1.12656764e-03 9.90794990e-04 8.83837591e-04
7.98333272e-04 7.29080411e-04 6.72338873e-04 6.25373779e-04
5.86153258e-04 5.53145052e-04 5.25177464e-04 5.01342839e-04
4.80929565e-04 4.63373500e-04 4.48222814e-04 4.35112218e-04
4.23743868e-04 4.13873039e-04 4.05297300e-04 3.97848227e-04
3.91385032e-04 3.85789613e-04 3.80962686e-04 3.76820750e-04
3.73293689e-04 3.70322879e-04 3.67859689e-04 3.65864297e-04
3.64304766e-04 3.63156328e-04 3.62400852e-04 3.61963249e-04]
[1.84310196e-05 1.87436206e-05 1.94649067e-05 2.05367820e-05
2.19397388e-05 2.36333726e-05 2.55418818e-05 2.75357653e-05
2.94184081e-05 3.09529930e-05 3.20526929e-05 3.35364375e-05
3.97544335e-05 6.71898274e-05 1.71744454e-04 5.27294177e-04
1.57503576e-03 3.88234668e-03 6.90118020e-03 9.33968968e-03
1.27650660e-02 1.70621177e-02 1.77980442e-02 1.41943542e-02
9.80355441e-03 6.71308204e-03 4.72959089e-03 3.46604226e-03
2.64148906e-03 2.08546487e-03 1.69774371e-03 1.41882619e-03
1.21251409e-03 1.05613354e-03 9.35055330e-04 8.39569625e-04
7.63059747e-04 7.00906491e-04 6.49813209e-04 6.07379436e-04
5.71825042e-04 5.41807774e-04 5.16300060e-04 4.94504250e-04
4.75793258e-04 4.59668340e-04 4.45728595e-04 4.33648651e-04
4.23162117e-04 4.14049191e-04 4.06127266e-04 3.99243746e-04
3.93270515e-04 3.88099632e-04 3.83639966e-04 3.79814558e-04
3.76558525e-04 3.73817419e-04 3.71545915e-04 3.69706783e-04
3.68270084e-04 3.67212546e-04 3.66517101e-04 3.66114469e-04]
[1.40000303e-05 1.45695265e-05 1.58470680e-05 1.78075208e-05
2.05015918e-05 2.39896957e-05 2.83305041e-05 3.35576185e-05
3.96339250e-05 4.63663035e-05 5.32585140e-05 5.93077693e-05
6.29585653e-05 6.36336344e-05 7.17341830e-05 1.64936605e-04
7.48661621e-04 3.37701188e-03 9.63367488e-03 1.48079010e-02
2.33822799e-02 3.36816748e-02 2.85941888e-02 1.63206806e-02
9.61292625e-03 6.08862530e-03 4.15332195e-03 3.01740507e-03
2.30663249e-03 1.83671928e-03 1.51140551e-03 1.27743626e-03
1.10374995e-03 9.71367509e-04 8.68211464e-04 7.86318990e-04
7.20274842e-04 6.66294657e-04 6.21669414e-04 5.84418000e-04
5.53063700e-04 5.26486739e-04 5.03824754e-04 4.84404234e-04
4.67692399e-04 4.53262869e-04 4.40770775e-04 4.29934483e-04
4.20521991e-04 4.12340703e-04 4.05229643e-04 3.99053495e-04
3.93697984e-04 3.89066282e-04 3.85076194e-04 3.81657937e-04
3.78752389e-04 3.76309703e-04 3.74288208e-04 3.72653549e-04
3.71378001e-04 3.70439959e-04 3.69823538e-04 3.69467066e-04]
[7.37758159e-06 8.27930724e-06 1.02850204e-05 1.34245689e-05
1.78673304e-05 2.38580290e-05 3.17343386e-05 4.19497501e-05
5.50998547e-05 7.19442486e-05 9.33995010e-05 1.20434012e-04
1.53678397e-04 1.92300077e-04 2.31700788e-04 2.62222448e-04
3.71131309e-04 1.58145232e-03 1.41242849e-02 2.09883086e-02
7.22241399e-02 8.53441807e-02 3.03501793e-02 1.37151864e-02
7.52264028e-03 4.72468462e-03 3.27572520e-03 2.43551404e-03
1.90666627e-03 1.55223105e-03 1.30289771e-03 1.12065292e-03
9.83282914e-04 8.77107896e-04 7.93328754e-04 7.26073746e-04
6.71299536e-04 6.26145187e-04 5.88537792e-04 5.56943680e-04
5.30206841e-04 5.07441295e-04 4.87957736e-04 4.71212517e-04
4.56771516e-04 4.44284126e-04 4.33464249e-04 4.24076219e-04
4.15924267e-04 4.08844525e-04 4.02698928e-04 3.97370501e-04
3.92759703e-04 3.88781570e-04 3.85363461e-04 3.82443295e-04
3.79968140e-04 3.77893111e-04 3.76180484e-04 3.74799010e-04
3.73723372e-04 3.72933769e-04 3.72415609e-04 3.72116488e-04]
[2.68439868e-06 3.96958727e-06 6.72590120e-06 1.10749877e-05
1.73163677e-05 2.58999687e-05 3.74821215e-05 5.30144146e-05
7.38859038e-05 1.02155319e-04 1.40941328e-04 1.95099398e-04
2.72457711e-04 3.86090530e-04 5.59283107e-04 8.33367861e-04
1.29129922e-03 2.40978525e-03 2.94162228e-02 6.29626285e-02
1.37767345e-01 1.19306628e-01 2.31298705e-02 9.82079657e-03
5.53388546e-03 3.63305624e-03 2.61851252e-03 2.01080162e-03
1.61648749e-03 1.34518252e-03 1.14999266e-03 1.00456763e-03
8.93148467e-04 8.05822622e-04 7.36090111e-04 6.79537047e-04
6.33075437e-04 5.94488536e-04 5.62148813e-04 5.34837357e-04
5.11624974e-04 4.91791970e-04 4.74772825e-04 4.60117307e-04
4.47462646e-04 4.36513320e-04 4.27026157e-04 4.18799226e-04
4.11663445e-04 4.05476200e-04 4.00116422e-04 3.95480790e-04
3.91480761e-04 3.88040241e-04 3.85093759e-04 3.82585013e-04
3.80465730e-04 3.78694755e-04 3.77237339e-04 3.76064573e-04
3.75152948e-04 3.74484020e-04 3.74044154e-04 3.73677643e-04]]
- channel 3: [[0.00191793 0.00254233 0.00353711 0.00464243 0.00579202 0.00696053
0.00813638 0.00931344 0.01048822 0.01165862 0.01282351 0.01398248
0.0151358 0.0162844 0.01742994 0.01857491 0.01972283 0.02087841
0.02204783 0.02323914 0.02446268 0.02573166 0.0270627 0.02847619
0.02999583 0.03164258 0.03342613 0.03523075 0.03692901 0.03816592
0.09541252 0.13665212 0.16587772 0.14075049 0.0528667 0.02692666
0.01446268 0.00771767 0.00521725 0.00566526 0.00713464 0.008654
0.00997707 0.01108464 0.01200537 0.01277203 0.01341276 0.01395022
0.01440244 0.01478377 0.01510575 0.01537769 0.01560724 0.01580067
0.01596319 0.01609914 0.01621213 0.01630515 0.01638069 0.01644077
0.01648702 0.0165207 0.01654272 0.01645905]
[0.00331608 0.00368713 0.00437175 0.00521588 0.00615046 0.00713753
0.00815506 0.00918946 0.01023196 0.01127661 0.01231924 0.01335687
0.01438736 0.01540914 0.0164211 0.0174224 0.01841236 0.0193902
0.02035469 0.02130355 0.02223235 0.02313248 0.02398746 0.02476564
0.0254057 0.02578793 0.02568265 0.02476201 0.02388509 0.0300544
0.07142938 0.09972928 0.12884973 0.12596786 0.06834807 0.03977831
0.02448244 0.01554139 0.01045667 0.008132 0.00762319 0.00804074
0.00883606 0.00972097 0.01056454 0.01132109 0.01198209 0.01255287
0.01304304 0.01346283 0.01382172 0.01412809 0.01438915 0.01461104
0.01479899 0.0149574 0.01508999 0.01519987 0.01528961 0.01536135
0.01541678 0.01545722 0.01548363 0.0154998 ]
[0.0051661 0.00536571 0.00577146 0.00632463 0.00698554 0.00772233
0.00851118 0.00933459 0.01017962 0.01103643 0.01189736 0.01275616
0.01360745 0.01444635 0.01526803 0.01606731 0.0168382 0.01757316
0.01826213 0.01889099 0.01943921 0.01987601 0.02015443 0.02020236
0.01991254 0.01915074 0.01790179 0.01703015 0.0202468 0.03568121
0.06324721 0.08503133 0.09638816 0.09566165 0.07547358 0.05129895
0.03513267 0.02453167 0.01755864 0.01307198 0.01042566 0.00914432
0.00877955 0.00894664 0.00937673 0.00990816 0.01045412 0.01097273
0.01144633 0.0118695 0.01224267 0.0125689 0.01285222 0.01309686
0.01330687 0.01348595 0.01363737 0.01376397 0.0138682 0.01395206
0.01401723 0.01406498 0.01409627 0.01411531]
[0.00689973 0.00701922 0.00727149 0.00763065 0.00807882 0.00859803
0.00917177 0.00978561 0.01042721 0.011086 0.01175279 0.0124193
0.01307782 0.01372071 0.01433999 0.01492676 0.01547054 0.01595841
0.01637372 0.01669449 0.01689121 0.01692441 0.01674329 0.01629161
0.01554361 0.01464711 0.0143373 0.01661725 0.02457708 0.03922787
0.0567643 0.07083022 0.07788203 0.07673144 0.06743802 0.05367215
0.04060726 0.03059496 0.02324714 0.01796452 0.01426514 0.01180609
0.01031803 0.00955606 0.00929446 0.0093461 0.00957407 0.00988796
0.01023258 0.01057633 0.01090224 0.01120202 0.01147228 0.01171239
0.01192317 0.01210616 0.01226317 0.01239603 0.0125065 0.0125961
0.01266617 0.01271776 0.0127517 0.01277238]
[0.00849183 0.0085657 0.00872524 0.00895671 0.00925187 0.00960129
0.00999505 0.01042331 0.01087649 0.01134545 0.01182133 0.01229546
0.01275906 0.01320296 0.01361715 0.01399034 0.01430929 0.01455812
0.01471744 0.01476376 0.01466959 0.0144066 0.01395768 0.01335446
0.01277851 0.01276839 0.01442607 0.01915316 0.02762413 0.03895947
0.05077142 0.06011728 0.06504563 0.06480304 0.05965156 0.05131298
0.04213646 0.03383478 0.02705215 0.02173299 0.01766583 0.01463536
0.01245933 0.0109814 0.01005784 0.00955322 0.00934603 0.00933606
0.00944744 0.00962665 0.00983803 0.01005885 0.01027519 0.0104789
0.01066549 0.01083276 0.01097987 0.0111068 0.01121394 0.0113019
0.01137132 0.01142278 0.01145679 0.01147775]
[0.009942 0.00998621 0.01008399 0.01022693 0.0104108 0.0106304
0.01087976 0.01115235 0.01144121 0.01173904 0.01203815 0.01233043
0.01260715 0.01285875 0.01307461 0.01324267 0.01334916 0.01337844
0.01331326 0.01313635 0.01283515 0.01241423 0.01192535 0.01153174
0.01160983 0.01280746 0.01586883 0.021215 0.02863951 0.03726099
0.04564926 0.05225173 0.05592365 0.05617687 0.05321177 0.04789008
0.04145312 0.03500177 0.02919499 0.0242778 0.02025304 0.01703718
0.01452644 0.01262053 0.01122649 0.01025633 0.00962566 0.0092554
0.00907548 0.00902767 0.0090665 0.00915833 0.00927924 0.00941281
0.00954809 0.00967798 0.00979796 0.00990531 0.00999842 0.01007646
0.01013899 0.01018588 0.0102171 0.01023681]
[0.01125548 0.01127859 0.01133199 0.01140997 0.01151005 0.01162901
0.01176293 0.01190724 0.01205677 0.01220568 0.0123475 0.01247502
0.01258018 0.01265399 0.01268641 0.01266634 0.01258184 0.01242094
0.01217364 0.01183673 0.01142435 0.01098986 0.01066589 0.01071675
0.01155361 0.01362717 0.01721794 0.0222951 0.02849966 0.0351655
0.04140163 0.0462938 0.04915117 0.04965727 0.04791332 0.04439957
0.03981982 0.03487641 0.03009338 0.02576747 0.02201651 0.01885277
0.01624035 0.01412564 0.01245109 0.01115986 0.0101964 0.0095064
0.00903756 0.00874124 0.00857436 0.0085007 0.00849117 0.00852339
0.00858069 0.00865099 0.00872569 0.00879884 0.00886632 0.0089254
0.00897423 0.00901166 0.00903693 0.00905376]
[0.01243971 0.01244683 0.01246645 0.01249447 0.01252916 0.01256824
0.01260883 0.01264745 0.01267999 0.01270168 0.01270704 0.01268982
0.01264302 0.01255882 0.01242884 0.01224442 0.01199767 0.01168343
0.01130345 0.01087467 0.01044464 0.01011696 0.01008103 0.01061664
0.01202833 0.01452212 0.01812078 0.02265989 0.02780617 0.03307387
0.03787854 0.04164678 0.04394194 0.04455355 0.0435287 0.0411449
0.03782735 0.03403263 0.03014829 0.02644325 0.02306975 0.02009323
0.01752558 0.01535045 0.01353842 0.01205514 0.0108648 0.00993141
0.00921911 0.00869267 0.00831821 0.00806433 0.00790307 0.00781055
0.00776716 0.00775731 0.00776896 0.007793 0.00782272 0.00785323
0.00788102 0.00790367 0.00791958 0.00793174]
[0.01350327 0.01349772 0.01349055 0.01347869 0.01346081 0.01343506
0.01339913 0.01335016 0.01328474 0.01319885 0.01308787 0.01294658
0.01276925 0.01254979 0.01228228 0.0119618 0.01158627 0.01115976
0.01069869 0.01024262 0.00987095 0.00972173 0.00999551 0.01091631
0.01265142 0.0152473 0.01862353 0.0225945 0.0268823 0.03112992
0.03493822 0.037929 0.0398151 0.04045252 0.03986044 0.03820571
0.03575616 0.03281652 0.02966847 0.02653299 0.02355942 0.02083393
0.01839621 0.01625592 0.01440529 0.01282732 0.01150066 0.01040211
0.00950776 0.00879344 0.00823503 0.00780881 0.00749203 0.00726356
0.00710444 0.00699823 0.00693113 0.00689194 0.00687176 0.00686373
0.00686268 0.00686485 0.00686764 0.00687321]
[0.01445538 0.01443947 0.01441043 0.01436594 0.01430486 0.01422563
0.01412628 0.01400438 0.01385705 0.01368094 0.01347228 0.01322698
0.01294083 0.01260994 0.01223152 0.01180533 0.01133627 0.0108389
0.01034489 0.00991427 0.00964836 0.00969484 0.01022701 0.01139078
0.01325033 0.01577255 0.01884496 0.02229405 0.02589399 0.02937807
0.03246396 0.03489214 0.03646671 0.03708641 0.03675694 0.03558215
0.03373698 0.0314299 0.02886604 0.02622034 0.02362484 0.02116827
0.018903 0.01685448 0.01503009 0.01342598 0.01203178 0.01083372
0.00981628 0.00896321 0.0082579 0.00768362 0.00722369 0.00686179
0.00658224 0.00637041 0.00621307 0.00609861 0.00601717 0.00596065
0.00592261 0.00589812 0.00588367 0.00588052]
[0.01530556 0.01528102 0.01523382 0.01516221 0.0150652 0.01494144
0.01478926 0.01460661 0.01439112 0.01414014 0.01385085 0.01352044
0.01314652 0.01272773 0.01226494 0.01176311 0.01123459 0.01070422
0.01021698 0.0098471 0.00970389 0.009923 0.01063402 0.01191598
0.01377173 0.01613465 0.01888826 0.02187937 0.02492502 0.0278221
0.03036489 0.03236912 0.03369679 0.03427488 0.03410293 0.03324783
0.03182755 0.02998825 0.02788075 0.02564177 0.02338274 0.02118622
0.01910784 0.01718106 0.01542281 0.0138385 0.01242605 0.01117878
0.01008736 0.00914112 0.0083287 0.00763846 0.00705869 0.00657768
0.00618385 0.00586591 0.00561303 0.00541511 0.00526299 0.00514863
0.00506526 0.00500746 0.00497116 0.0049569 ]
[0.01606338 0.01603161 0.01596922 0.01587494 0.01574792 0.01558704
0.01539093 0.01515797 0.01488635 0.01457422 0.01421982 0.01382183
0.01337989 0.01289546 0.01237329 0.01182367 0.01126596 0.0107338
0.01028149 0.00998941 0.00996224 0.01031185 0.01112529 0.01243526
0.0142128 0.01638029 0.01882774 0.02142259 0.02401584 0.02644989
0.02857081 0.03024385 0.03136897 0.03189233 0.03181092 0.03116934
0.03004959 0.02855657 0.0268027 0.02489469 0.02292464 0.02096565
0.01907138 0.01727815 0.01560811 0.01407272 0.0126758 0.01141599
0.01028868 0.00928723 0.00840399 0.00763078 0.00695928 0.0063812
0.00588838 0.00547284 0.00512685 0.004843 0.00461434 0.00443451
0.00429787 0.00419971 0.00413637 0.00410819]
[0.01673831 0.01670046 0.01662538 0.01651219 0.01636023 0.01616862
0.01593634 0.01566225 0.01534522 0.01498427 0.01457887 0.01412932
0.01363745 0.01310765 0.01254851 0.01197523 0.01141313 0.01090209
0.01050096 0.01028882 0.01035771 0.01079315 0.01164839 0.01292758
0.01458716 0.01654898 0.01871306 0.0209655 0.02318393 0.02524386
0.02702734 0.02843326 0.02938722 0.02984874 0.02981403 0.02931388
0.02840697 0.02717036 0.02568916 0.02404714 0.02231977 0.02057017
0.01884763 0.01718815 0.01561613 0.01414657 0.01278723 0.01154066
0.01040573 0.00937895 0.00845532 0.00762908 0.00689411 0.0062443
0.00567371 0.00517674 0.00474821 0.0043834 0.00407817 0.00382896
0.00363286 0.00348761 0.00339161 0.00334628]
[0.01733943 0.01729653 0.01721096 0.0170822 0.01690977 0.0166931
0.01643157 0.0161246 0.01577181 0.0153732 0.01492955 0.01444287
0.01391723 0.01335984 0.01278284 0.01220554 0.0116576 0.01118226
0.01083846 0.01069873 0.01083919 0.0113217 0.01217537 0.01338804
0.01491094 0.01667003 0.01857609 0.02053138 0.02243449 0.02418544
0.02569192 0.02687631 0.027682 0.02807802 0.02806067 0.02765195
0.02689506 0.02584795 0.02457614 0.02314598 0.02161935 0.02005007
0.01848221 0.01694979 0.01547751 0.01408212 0.01277383 0.01155788
0.01043576 0.00940633 0.00846668 0.00761282 0.00684016 0.00614392
0.00551944 0.00496243 0.00446916 0.00403671 0.00366313 0.00334765
0.00309083 0.00289444 0.00276113 0.00269554]
[0.0178754 0.01782838 0.01773431 0.01759297 0.01740413 0.01716754
0.01688305 0.01655068 0.01617085 0.01574463 0.01527414 0.01476311
0.01421773 0.01364782 0.01306845 0.01250206 0.01198079 0.01154854
0.01126099 0.01118129 0.01136971 0.01186904 0.01269211 0.01381811
0.01519799 0.01676373 0.01843647 0.02013224 0.02176623 0.02325696
0.02453085 0.02552705 0.02620165 0.02653058 0.0265106 0.026158
0.0255055 0.02459767 0.02348586 0.02222327 0.02086084 0.01944431
0.01801252 0.01659675 0.01522091 0.01390226 0.01265238 0.01147826
0.01038327 0.00936809 0.00843147 0.00757082 0.00678275 0.00606344
0.00540901 0.00481584 0.00428083 0.0038018 0.00337789 0.00301003
0.00270151 0.0024583 0.00228858 0.0022022 ]
[0.01835427 0.01830397 0.01820322 0.01805207 0.01785054 0.01759874
0.01729701 0.01694603 0.01654705 0.01610222 0.01561496 0.01509065
0.01453739 0.01396721 0.01339742 0.01285238 0.01236507 0.01197787
0.01174107 0.01170764 0.01192379 0.01241777 0.01319186 0.0142212
0.0154589 0.01684353 0.01830576 0.01977328 0.0211746 0.02244243
0.02351716 0.02435023 0.02490699 0.02516851 0.02513211 0.02481033
0.02422865 0.02342214 0.02243179 0.02130081 0.0200715 0.01878279
0.01746872 0.01615762 0.01487202 0.01362897 0.01244064 0.01131511
0.01025707 0.00926858 0.00834973 0.00749913 0.00671444 0.00599272
0.00533077 0.00472549 0.00417418 0.00367497 0.00322737 0.00283294
0.00249626 0.00222573 0.00203343 0.00193328]
[0.01878341 0.01873061 0.01862488 0.01846647 0.01825567 0.01799298
0.01767924 0.01731579 0.01690472 0.01644921 0.01595393 0.0154257
0.01487429 0.01431339 0.01376181 0.01324475 0.01279459 0.01245069
0.012257 0.01225673 0.01248437 0.0129575 0.01367173 0.01460085
0.01570126 0.01691801 0.01819031 0.01945574 0.02065359 0.02172768
0.02262904 0.02331834 0.02376778 0.02396236 0.0239 0.02359074
0.02305493 0.02232084 0.02142178 0.02039339 0.01927116 0.0180884
0.01687493 0.01565626 0.01445332 0.01328252 0.01215617 0.01108293
0.0100684 0.00911574 0.0082261 0.00739919 0.00663365 0.00592744
0.00527813 0.00468329 0.00414076 0.00364914 0.00320823 0.00281983
0.00248856 0.00222267 0.00203392 0.00193507]
[0.01916944 0.01911487 0.01900573 0.01884241 0.01862549 0.01835586
0.01803485 0.01766445 0.01724755 0.01678827 0.0162924 0.01576801
0.01522612 0.01468161 0.01415404 0.01366849 0.01325569 0.01295114
0.0127923 0.01281365 0.01304033 0.01348222 0.01413087 0.01496021
0.01593035 0.01699242 0.01809316 0.01917856 0.02019675 0.02110039
0.02184877 0.02240959 0.02276034 0.022889 0.02279412 0.02248402
0.02197543 0.02129162 0.02046022 0.01951108 0.01847433 0.01737872
0.01625049 0.01511259 0.01398433 0.01288132 0.01181571 0.01079646
0.00982983 0.00891978 0.00806841 0.00727639 0.00654332 0.00586806
0.00524905 0.00468464 0.00417333 0.00371423 0.00330739 0.00295435
0.00265861 0.00242595 0.00226396 0.00217996]
[0.01951822 0.01946255 0.01935144 0.01918539 0.01896524 0.01869224
0.01836823 0.01799578 0.01757851 0.01712138 0.01663113 0.01611678
0.01559028 0.01506714 0.01456705 0.01411421 0.01373703 0.0134668
0.01333484 0.01336821 0.0135847 0.01398874 0.01456951 0.01530193
0.01614983 0.01706987 0.01801541 0.01893959 0.01979784 0.02054998
0.02116183 0.02160662 0.02186591 0.02193009 0.02179826 0.02147759
0.02098222 0.02033172 0.01954945 0.01866085 0.01769183 0.01666748
0.01561106 0.0145433 0.01348201 0.01244198 0.01143505 0.01047036
0.00955461 0.00869242 0.00788671 0.00713901 0.00644981 0.00581881
0.00524522 0.00472799 0.00426608 0.00385864 0.00350528 0.0032063
0.00296279 0.00277671 0.00265053 0.00258612]
[0.01983479 0.01977865 0.0196669 0.0195001 0.01927934 0.01900621
0.01868299 0.0183128 0.01789988 0.01744988 0.01697029 0.01647082
0.01596398 0.01546546 0.01499452 0.01457397 0.01422952 0.01398829
0.01387604 0.01391367 0.01411336 0.01447567 0.01498854 0.01562829
0.0163623 0.01715219 0.01795707 0.01873618 0.0194511 0.02006746
0.02055639 0.02089549 0.0210696 0.02107102 0.0208994 0.02056115
0.02006849 0.01943829 0.01869069 0.0178477 0.01693197 0.01596567
0.01496962 0.01396266 0.01296133 0.01197964 0.01102915 0.01011905
0.00925641 0.00844643 0.00769271 0.00699753 0.00636208 0.00578669
0.00527099 0.00481409 0.00441467 0.00407109 0.00378151 0.00354401
0.00335669 0.00321786 0.00312612 0.00307998]
[0.02012342 0.02006738 0.0199562 0.01979046 0.01957145 0.01930109
0.01898202 0.01861782 0.01821325 0.01777451 0.0173096 0.01682869
0.01634447 0.01587243 0.015431 0.01504127 0.01472613 0.01450874
0.01441004 0.0144459 0.0146242 0.01494286 0.01538927 0.01594136
0.01656974 0.01724047 0.01791764 0.01856572 0.01915145 0.01964533
0.0200228 0.02026505 0.02035954 0.02030019 0.02008716 0.01972635
0.01922863 0.01860884 0.01788466 0.01707551 0.01620148 0.01528243
0.01433722 0.01338319 0.01243578 0.0115084 0.01061233 0.00975685
0.00894933 0.00819547 0.00749942 0.00686402 0.00629087 0.0057805
0.00533238 0.00494502 0.00461595 0.00434189 0.00411884 0.00394241
0.00380809 0.00371165 0.00364952 0.00361872]
[0.0203876 0.02033216 0.02022267 0.02005961 0.01984448 0.01957944
0.01926746 0.01891248 0.01851963 0.01809551 0.01764845 0.01718877
0.01672908 0.01628437 0.01587194 0.01551098 0.01522161 0.01502337
0.01493309 0.01496261 0.01511656 0.01539104 0.01577337 0.01624311
0.01677385 0.01733551 0.01789652 0.01842586 0.01889463 0.01927745
0.01955332 0.01970641 0.01972636 0.01960846 0.01935334 0.01896664
0.01845822 0.01784142 0.01713208 0.01634762 0.01550617 0.01462577
0.01372376 0.01281628 0.01191795 0.01104169 0.01019862 0.00939812
0.00864786 0.00795391 0.00732082 0.00675164 0.00624797 0.00580988
0.00543597 0.0051233 0.00486758 0.00466338 0.00450452 0.00438454
0.00429723 0.00423704 0.00419952 0.0041813 ]
[0.02063003 0.02057567 0.02046886 0.02030995 0.0201006 0.01984317
0.01954084 0.01919783 0.01881953 0.01841275 0.01798595 0.01754941
0.01711539 0.01669815 0.01631368 0.01597921 0.01571223 0.01552907
0.01544311 0.01546296 0.01559082 0.01582157 0.01614278 0.01653557
0.01697623 0.01743805 0.01789326 0.01831469 0.01867722 0.01895891
0.01914183 0.01921261 0.01916276 0.01898873 0.01869166 0.01827702
0.01775401 0.01713484 0.01643397 0.0156673 0.01485147 0.01400313
0.01313848 0.01227274 0.01141994 0.01059267 0.00980201 0.00905747
0.00836695 0.00773676 0.00717151 0.00667406 0.00624535 0.00588433
0.00558792 0.00535118 0.00516765 0.00502984 0.00492982 0.00485988
0.00481298 0.00478321 0.00476595 0.00475803]
[0.02085271 0.02079984 0.02069663 0.02054321 0.02034134 0.02009352
0.01980309 0.01947441 0.01911302 0.01872579 0.01832111 0.01790903
0.01750128 0.01711119 0.01675339 0.01644316 0.01619554 0.01602407
0.01593927 0.01594717 0.01604816 0.01623635 0.01649971 0.01682089
0.01717856 0.017549 0.01790771 0.01823088 0.01849664 0.01868601
0.01878366 0.01877836 0.01866322 0.01843572 0.0180975 0.01765399
0.01711394 0.01648875 0.01579186 0.01503806 0.01424285 0.01342188
0.01259046 0.01176323 0.01095379 0.0101746 0.00943674 0.00874984
0.00812194 0.00755929 0.00706618 0.00664464 0.00629428 0.00601222
0.00579322 0.00563011 0.0055144 0.00543702 0.00538903 0.00536221
0.00534942 0.00534489 0.00534427 0.00534477]
[0.02105692 0.02100594 0.02090716 0.02076042 0.02056757 0.02033115
0.0200546 0.01974231 0.01939982 0.01903393 0.01865283 0.01826614
0.01788492 0.01752149 0.01718902 0.01690096 0.01667016 0.0165077
0.01642173 0.01641628 0.01649037 0.01663766 0.01684665 0.0171014
0.01738272 0.01766951 0.01794013 0.01817371 0.01835116 0.01845611
0.01847546 0.01839985 0.01822379 0.01794571 0.01756773 0.01709537
0.01653708 0.01590373 0.01520802 0.01446392 0.01368612 0.01288956
0.01208896 0.01129856 0.01053176 0.00980095 0.00911727 0.00849039
0.00792821 0.00743655 0.00701878 0.00667548 0.00640429 0.00620003
0.00605516 0.00596048 0.005906 0.00588177 0.00587849 0.00588803
0.0059036 0.00591982 0.00593277 0.00594015]
[0.02124327 0.02119454 0.02110094 0.02096201 0.02077958 0.02055621
0.02029531 0.02000122 0.01967938 0.01933636 0.01898001 0.01861942
0.01826485 0.01792752 0.0176192 0.0173516 0.01713556 0.01698012
0.01689145 0.01687198 0.01691974 0.01702818 0.01718637 0.01737969
0.01759087 0.0178011 0.01799128 0.01814308 0.01823989 0.01826758
0.01821506 0.01807458 0.01784192 0.01751638 0.0171006 0.01660025
0.01602365 0.01538133 0.01468548 0.01394952 0.0131876 0.01241416
0.01164359 0.01088989 0.01016638 0.00948544 0.00885818 0.00829408
0.00780056 0.00738245 0.00704158 0.00677648 0.00658242 0.00645183
0.00637513 0.00634165 0.00634056 0.00636165 0.00639585 0.00643544
0.0064742 0.00650728 0.00653121 0.00654417]
[0.02141169 0.02136555 0.02127788 0.0211478 0.0209771 0.0207683
0.0205247 0.02025049 0.01995087 0.01963211 0.01930157 0.0189677
0.0186399 0.01832826 0.01804316 0.01779471 0.01759199 0.01744223
0.01735 0.01731648 0.017339 0.01741091 0.01752192 0.01765863
0.01780547 0.0179457 0.01806243 0.01813958 0.01816275 0.01811979
0.01800138 0.01780123 0.0175163 0.01714671 0.01669562 0.01616892
0.01557496 0.01492405 0.01422812 0.01350023 0.01275418 0.01200415
0.01126434 0.01054862 0.00987029 0.00924163 0.00867353 0.00817493
0.00775222 0.00740869 0.00714407 0.00695445 0.00683269 0.00676916
0.00675278 0.00677208 0.00681603 0.0068747 0.00693952 0.00700344
0.00706081 0.00710737 0.00714004 0.00715746]
[0.0215615 0.0215183 0.02143724 0.02131703 0.02115935 0.02096659
0.02074186 0.02048914 0.02021328 0.01992011 0.01961645 0.01930999
0.01900922 0.01872309 0.01846066 0.01823054 0.01804025 0.01789549
0.0177995 0.01775247 0.01775122 0.01778918 0.01785665 0.01794138
0.01802936 0.01810566 0.01815538 0.01816448 0.01812046 0.018013
0.01783437 0.01757963 0.01724681 0.01683684 0.01635342 0.01580276
0.01519329 0.01453532 0.01384063 0.01312209 0.01239334 0.01166841
0.0109614 0.01028614 0.00965577 0.00908231 0.00857606 0.00814494
0.00779377 0.00752375 0.00733217 0.00721271 0.00715612 0.00715127
0.00718629 0.00724954 0.0073303 0.00741921 0.0075084 0.00759148
0.00766345 0.00772051 0.00775998 0.0077809 ]
[0.02169138 0.02165145 0.02157772 0.02146839 0.02132501 0.02114976
0.02094552 0.02071591 0.02046538 0.02019923 0.01992362 0.01964544
0.01937221 0.01911177 0.0188719 0.01865983 0.01848169 0.01834187
0.01824251 0.01818301 0.01815986 0.01816662 0.01819422 0.01823148
0.01826577 0.01828383 0.01827255 0.01821968 0.01811451 0.01794836
0.01771494 0.01741059 0.01703435 0.01658796 0.01607568 0.01550411
0.01488187 0.01421936 0.01352833 0.01282162 0.01211281 0.01141585
0.01074476 0.01011319 0.00953398 0.00901853 0.00857609 0.00821303
0.0079321 0.00773211 0.00760794 0.00755116 0.00755102 0.00759557
0.00767279 0.00777136 0.00788118 0.00799362 0.00810155 0.00819925
0.00828226 0.00834724 0.00839181 0.00841537]
[0.02179938 0.02176307 0.0216974 0.02160001 0.02147226 0.02131607
0.02113399 0.02092924 0.02070575 0.02046822 0.02022207 0.01997333
0.01972853 0.01949439 0.01927748 0.01908375 0.01891809 0.01878376
0.01868198 0.01861153 0.01856869 0.01854722 0.01853866 0.01853281
0.01851835 0.01848354 0.01841687 0.01830776 0.01814713 0.01792781
0.01764487 0.01729586 0.0168808 0.01640223 0.01586502 0.01527618
0.01464465 0.01398097 0.01329699 0.01260558 0.01192029 0.01125498
0.0106235 0.01003912 0.00951404 0.0090586 0.00868052 0.00838414
0.00816989 0.00803419 0.00796984 0.00796688 0.00801372 0.00809826
0.00820878 0.00833461 0.00846644 0.00859641 0.00871811 0.00882642
0.00891737 0.008988 0.0090362 0.00906165]
[0.02188291 0.02185061 0.02179379 0.02170946 0.02159877 0.02146332
0.02130526 0.0211273 0.0209328 0.02072576 0.02051079 0.02029304
0.020078 0.01987129 0.01967831 0.01950383 0.01935162 0.01922395
0.01912124 0.01904183 0.01898185 0.01893529 0.01889431 0.01884966
0.01879122 0.01870863 0.01859189 0.01843198 0.01822129 0.0179541
0.01762679 0.01723804 0.01678887 0.01628261 0.01572476 0.01512284
0.0144861 0.01382533 0.0131525 0.01248053 0.01182289 0.01119327
0.01060511 0.01007103 0.00960213 0.00920727 0.00889219 0.00865898
0.00850575 0.00842683 0.0084135 0.00845491 0.00853927 0.00865479
0.00879041 0.00893625 0.00908383 0.00922606 0.00935718 0.00947261
0.00956879 0.00964309 0.00969361 0.00972029]
[0.02193876 0.02191089 0.02186376 0.02179374 0.0217017 0.02158886
0.02145688 0.02130792 0.02114468 0.02097037 0.02078876 0.02060403
0.02042062 0.02024305 0.0200756 0.01992194 0.01978479 0.01966555
0.01956399 0.01947806 0.0194038 0.01933552 0.01926596 0.01918677
0.01908896 0.01896348 0.01880175 0.01859619 0.01834063 0.01803071
0.01766407 0.01724051 0.01676202 0.01623275 0.01565887 0.0150484
0.01441098 0.01375763 0.01310045 0.01245233 0.01182658 0.01123648
0.01069478 0.01021304 0.0098009 0.00946531 0.00920986 0.00903437
0.00893489 0.0089042 0.00893263 0.00900909 0.00912204 0.00926033
0.00941372 0.00957319 0.00973107 0.00988098 0.01001774 0.01013724
0.0102363 0.01031254 0.01036425 0.01039159]
[0.02196301 0.02194006 0.02190358 0.02184927 0.02177765 0.02168953
0.02158602 0.02146863 0.02133932 0.02120046 0.02105489 0.02090576
0.02075648 0.02061045 0.02047082 0.02034024 0.02022045 0.02011207
0.02001427 0.01992471 0.01983942 0.019753 0.0196588 0.01954932
0.01941666 0.01925303 0.01905118 0.01880492 0.01850948 0.01816179
0.01776075 0.01730726 0.01680429 0.0162568 0.01567166 0.01505738
0.01442399 0.01378271 0.01314571 0.01252571 0.01193564 0.01138812
0.01089486 0.010466 0.01010938 0.00982985 0.00962884 0.00950413
0.00945021 0.00945885 0.00952 0.00962278 0.00975622 0.00991
0.01007476 0.01024234 0.01040581 0.01055945 0.0106986 0.01081955
0.01091944 0.01099611 0.01104804 0.01107551]
[0.0219511 0.0219336 0.02190886 0.02187183 0.02182268 0.0217617
0.02168941 0.02160659 0.02151437 0.02141423 0.02130798 0.02119773
0.02108578 0.02097439 0.02086565 0.02076116 0.02066175 0.0205673
0.02047649 0.02038669 0.02029398 0.02019326 0.02007848 0.01994299
0.01977996 0.01958277 0.0193455 0.0190633 0.01873277 0.01835213
0.01792149 0.01744286 0.01692019 0.01635927 0.0157676 0.01515423
0.01452949 0.01390474 0.01329204 0.0127038 0.0121523 0.01164918
0.01120479 0.01082758 0.0105234 0.01029503 0.01014191 0.01006022
0.01004337 0.01008263 0.01016807 0.01028928 0.01043609 0.01059904
0.01076967 0.01094061 0.01110565 0.01125964 0.01139837 0.01151851
0.01161746 0.01169328 0.01174456 0.01177172]
[0.02189772 0.02188629 0.02187451 0.02185657 0.02183221 0.02180117
0.02176328 0.02171855 0.02166717 0.02160965 0.02154671 0.02147937
0.02140873 0.02133594 0.02126197 0.02118738 0.02111216 0.02103545
0.02095546 0.02086933 0.02077321 0.02066231 0.02053119 0.02037404
0.02018504 0.01995879 0.01969064 0.01937709 0.01901605 0.01860706
0.01815144 0.01765229 0.01711454 0.01654477 0.01595113 0.01534309
0.01473122 0.01412687 0.01354184 0.01298795 0.01247659 0.01201813
0.01162134 0.01129281 0.0110364 0.010853 0.01074041 0.01069369
0.0107056 0.01076738 0.01086948 0.01100218 0.01115616 0.01132286
0.01149465 0.01166493 0.0118281 0.01197954 0.01211545 0.01223284
0.01232933 0.01240316 0.01245305 0.0124795 ]
[0.02179681 0.02179217 0.02179474 0.02179795 0.02180106 0.02180318
0.0218034 0.02180083 0.02179471 0.02178443 0.0217696 0.02175001
0.02172557 0.02169623 0.02166181 0.02162185 0.02157545 0.02152105
0.0214564 0.02137844 0.02128335 0.02116672 0.02102369 0.02084929
0.02063875 0.02038782 0.02009317 0.01975263 0.01936544 0.01893246
0.01845619 0.01794085 0.01739231 0.01681791 0.01622638 0.01562754
0.01503203 0.01445106 0.01389592 0.01337764 0.01290646 0.01249127
0.01213914 0.01185479 0.01164028 0.01149487 0.01141516 0.01139545
0.01142833 0.01150526 0.01161726 0.0117554 0.01191124 0.01207704
0.01224599 0.01241216 0.01257054 0.01271696 0.01284801 0.01296096
0.01305368 0.01312455 0.01317242 0.01319781]
[0.02164156 0.02164452 0.02166305 0.02168975 0.02172337 0.02176235
0.02180493 0.02184928 0.02189355 0.02193598 0.02197492 0.02200889
0.0220365 0.02205646 0.02206738 0.02206775 0.02205573 0.02202907
0.02198503 0.02192034 0.02183125 0.02171368 0.0215634 0.02137628
0.02114858 0.02087727 0.02056031 0.02019689 0.01978761 0.01933462
0.01884166 0.01831402 0.01775847 0.01718309 0.01659705 0.01601042
0.01543379 0.01487797 0.01435359 0.01387065 0.01343808 0.01306319
0.01275134 0.01250552 0.01232623 0.01221147 0.012157 0.01215678
0.01220346 0.01228894 0.01240491 0.01254325 0.01269635 0.01285731
0.01302 0.01317913 0.01333021 0.0134695 0.01359393 0.01370103
0.01378885 0.01385594 0.01390122 0.01392526]
[0.02142438 0.02143585 0.02147214 0.02152501 0.02159261 0.02167266
0.02176249 0.02185922 0.02195984 0.02206131 0.02216067 0.02225503
0.02234165 0.02241787 0.02248105 0.02252852 0.02255748 0.02256492
0.02254759 0.02250197 0.0224244 0.02231111 0.02215849 0.02196328
0.0217228 0.02143526 0.02109995 0.02071744 0.02028972 0.01982027
0.01931404 0.01877737 0.0182179 0.01764434 0.01706626 0.01649377
0.01593726 0.015407 0.01491275 0.01446334 0.01406627 0.01372733
0.01345024 0.01323649 0.01308531 0.0129938 0.01295724 0.01296948
0.01302344 0.01311159 0.01322633 0.01336032 0.01350675 0.01365945
0.01381296 0.01396255 0.01410421 0.01423457 0.01435088 0.01445089
0.01453286 0.01459545 0.01463768 0.0146601 ]
[0.0211369 0.02115793 0.02121401 0.02129604 0.02140155 0.02152744
0.02167006 0.02182541 0.0219892 0.02215704 0.02232451 0.02248725
0.02264102 0.0227817 0.02290529 0.02300786 0.02308556 0.02313452
0.02315094 0.02313102 0.02307109 0.02296776 0.022818 0.02261945
0.02237054 0.02207074 0.02172073 0.02132251 0.02087949 0.0203965
0.01987969 0.01933646 0.01877524 0.01820529 0.01763643 0.01707874
0.01654221 0.01603642 0.01557012 0.01515094 0.01478494 0.01447645
0.01422775 0.01403911 0.0139088 0.01383331 0.01380768 0.01382589
0.01388127 0.01396686 0.01407578 0.01420146 0.0143378 0.0144793
0.01462111 0.01475902 0.01488943 0.01500933 0.01511624 0.01520813
0.01528343 0.01534091 0.0153797 0.01540026]
[0.02077005 0.0208018 0.02087994 0.02099448 0.02114228 0.02131936
0.02152101 0.02174201 0.02197673 0.02221929 0.02246372 0.02270407
0.02293446 0.02314918 0.0233427 0.02350975 0.02364524 0.02374438
0.02380267 0.02381597 0.02378057 0.02369338 0.02355201 0.023355
0.02310192 0.02279358 0.02243211 0.02202101 0.02156515 0.02107073
0.02054514 0.01999678 0.01943483 0.01886903 0.01830933 0.01776563
0.01724742 0.01676348 0.01632151 0.0159279 0.01558739 0.01530298
0.01507576 0.01490502 0.01478836 0.0147219 0.01470067 0.01471889
0.01477034 0.0148487 0.01494776 0.01506163 0.0151849 0.01531266
0.01544061 0.01556499 0.0156826 0.01579073 0.01588715 0.01597005
0.01603798 0.01608985 0.01612486 0.01614337]
[0.02031412 0.02035789 0.02046059 0.02061134 0.02080627 0.02104047
0.02130809 0.02160261 0.02191695 0.02224367 0.02257513 0.02290365
0.02322156 0.02352142 0.023796 0.02403844 0.02424232 0.02440169
0.02451124 0.02456635 0.0245632 0.02449895 0.02437185 0.02418135
0.02392824 0.02361475 0.02324452 0.02282263 0.0223555 0.02185076
0.02131703 0.02076371 0.0202007 0.01963812 0.01908598 0.0185539
0.0180508 0.0175846 0.01716197 0.01678811 0.01646658 0.01619923
0.01598621 0.01582608 0.01571598 0.01565189 0.01562893 0.01564167
0.01568437 0.0157513 0.01583688 0.01593587 0.01604345 0.01615526
0.01626748 0.01637676 0.01648023 0.01657549 0.01666051 0.01673368
0.01679368 0.01683951 0.01687046 0.01688676]
[0.01975895 0.01981614 0.01994612 0.02013712 0.02038449 0.02068228
0.02102348 0.02140018 0.02180379 0.02222521 0.02265504 0.02308371
0.02350165 0.02389943 0.02426795 0.02459854 0.02488314 0.02511446
0.02528614 0.02539291 0.02543073 0.02539693 0.02529035 0.02511143
0.02486223 0.02454647 0.02416944 0.02373793 0.02325999 0.02274474
0.02220209 0.02164247 0.02107646 0.02051452 0.01996667 0.01944221
0.01894945 0.01849547 0.01808594 0.01772501 0.01741521 0.01715745
0.01695116 0.01679437 0.01668395 0.01661588 0.01658547 0.01658766
0.0166172 0.01666891 0.01673779 0.01681918 0.01690877 0.01700272
0.0170976 0.01719043 0.01727866 0.01736011 0.01743299 0.01749582
0.01754742 0.01758687 0.01761353 0.01762748]
[0.01909413 0.01916626 0.01932646 0.01956206 0.01986754 0.02023592
0.02065891 0.02112718 0.02163059 0.02215833 0.02269913 0.02324145
0.0237736 0.02428402 0.02476139 0.02519495 0.0255747 0.02589165
0.02613813 0.02630794 0.02639663 0.02640161 0.02632227 0.02616004
0.02591834 0.02560253 0.02521971 0.02477849 0.02428874 0.02376119
0.02320714 0.02263806 0.02206527 0.02149956 0.02095096 0.02042844
0.0199397 0.01949107 0.01908731 0.01873164 0.01842574 0.01816979
0.01796265 0.01780203 0.01768466 0.01760659 0.01756339 0.01755035
0.01756272 0.01759579 0.0176451 0.01770648 0.0177761 0.01785052
0.01792669 0.01800195 0.01807401 0.01814092 0.01820105 0.01825306
0.01829589 0.01832871 0.01835091 0.0183624 ]
[0.01830942 0.0183981 0.01859164 0.01887642 0.01924603 0.01969234
0.02020579 0.02077563 0.02139013 0.02203677 0.0227024 0.02337339
0.02403581 0.02467566 0.02527913 0.02583296 0.02632476 0.02674343
0.02707954 0.02732563 0.02747656 0.02752963 0.02748472 0.02734426
0.02711318 0.02679862 0.02640969 0.0259571 0.0254527 0.02490906
0.02433902 0.02375522 0.02316976 0.02259386 0.02203756 0.02150957
0.02101706 0.02056564 0.02015933 0.01980055 0.01949029 0.01922816
0.01901262 0.01884115 0.01871045 0.01861671 0.01855574 0.0185232
0.01851473 0.01852611 0.0185533 0.01859258 0.0186405 0.018694
0.01875033 0.01880712 0.01886228 0.01891405 0.01896097 0.01900182
0.01903561 0.01906159 0.01907922 0.01908817]
[0.01739519 0.01750211 0.01773224 0.01807098 0.01851091 0.01904272
0.01965556 0.02033729 0.02107469 0.02185361 0.02265904 0.02347525
0.02428592 0.02507435 0.02582387 0.02651818 0.02714196 0.02768138
0.02812466 0.0284626 0.02868892 0.02880058 0.02879783 0.02868414
0.02846604 0.02815273 0.02775566 0.02728792 0.0267637 0.02619771
0.02560454 0.02499825 0.02439187 0.02379713 0.0232242 0.02268159
0.02217603 0.02171255 0.0212945 0.02092368 0.02060049 0.02032411
0.02009269 0.01990357 0.01975349 0.01963875 0.01955541 0.01949946
0.01946689 0.01945386 0.01945673 0.01947211 0.0194969 0.01952834
0.01956394 0.01960155 0.01963927 0.01967551 0.0197089 0.01973835
0.01976293 0.01978197 0.01979493 0.01980129]
[0.01634315 0.01647004 0.01674012 0.01713766 0.01765412 0.01827895
0.01900002 0.01980393 0.02067619 0.0216012 0.0225623 0.0235417
0.02452062 0.02547941 0.02639804 0.02725659 0.028036 0.02871887
0.02929028 0.02973853 0.03005567 0.03023789 0.03028561 0.0302034
0.02999959 0.02968578 0.02927616 0.02878673 0.02823453 0.0276368
0.02701033 0.02637085 0.02573258 0.02510793 0.02450734 0.02393921
0.02340995 0.02292408 0.02248439 0.02209215 0.02174727 0.02144858
0.02119399 0.02098073 0.02080556 0.0206649 0.02055503 0.02047217
0.02041263 0.02037286 0.02034954 0.02033955 0.02034009 0.0203486
0.02036282 0.02038077 0.02040071 0.02042117 0.02044088 0.02045881
0.02047413 0.02048617 0.02049446 0.02049821]
[0.01514721 0.01529586 0.0156093 0.01607046 0.01666945 0.01739439
0.01823197 0.01916779 0.0201864 0.02127123 0.02240431 0.02356606
0.02473526 0.02588908 0.02700359 0.02805442 0.02901774 0.02987147
0.03059635 0.03117715 0.03160339 0.03186993 0.03197712 0.03193058
0.03174077 0.03142218 0.03099243 0.03047114 0.02987889 0.02923617
0.02856249 0.02787569 0.02719152 0.02652328 0.02588181 0.02527554
0.0247106 0.02419109 0.0237193 0.02329599 0.02292065 0.02259175
0.022307 0.02206349 0.02185798 0.02168696 0.02154685 0.02143406
0.02134511 0.02127669 0.02122569 0.02118923 0.02116469 0.02114971
0.02114217 0.02114023 0.02114226 0.02114687 0.02115289 0.02115934
0.02116542 0.02117051 0.02117415 0.0211753 ]
[0.01380456 0.01397682 0.01433713 0.0148666 0.01555365 0.01638491
0.01734604 0.01842206 0.01959723 0.02085468 0.02217585 0.02353988
0.02492332 0.0263 0.0276415 0.02891796 0.03009943 0.03115746
0.03206689 0.0328074 0.03336477 0.03373168 0.03390796 0.03390039
0.03372193 0.03339077 0.03292891 0.03236069 0.03171132 0.03100545
0.03026612 0.02951389 0.02876637 0.02803804 0.02734029 0.0266816
0.02606787 0.02550275 0.02498802 0.02452393 0.02410951 0.02374285
0.02342138 0.02314204 0.0229015 0.02269624 0.02252274 0.02237752
0.02225724 0.02215871 0.02207899 0.02201534 0.02196527 0.02192654
0.02189715 0.02187533 0.02185954 0.02184846 0.02184095 0.02183607
0.02183306 0.02183132 0.0218304 0.02182899]
[0.01231709 0.01251497 0.01292588 0.0135282 0.01430803 0.01525028
0.01633981 0.01756177 0.01890113 0.0203419 0.02186602 0.02345229
0.02507558 0.0267064 0.02831118 0.02985328 0.03129473 0.03259854
0.03373121 0.03466515 0.03538059 0.03586679 0.03612247 0.03615558
0.03598232 0.03562571 0.03511366 0.03447687 0.03374672 0.03295342
0.03212455 0.0312841 0.03045204 0.02964421 0.0288726 0.02814573
0.02746917 0.02684603 0.02627746 0.02576308 0.02530137 0.02488994
0.02452586 0.02420579 0.02392621 0.02368352 0.02347415 0.02329464
0.02314166 0.02301211 0.02290311 0.02281198 0.02273632 0.02267394
0.02262291 0.02258152 0.02254825 0.02252182 0.02250111 0.02248522
0.02247338 0.02246501 0.02245967 0.02245578]
[0.01069289 0.01091887 0.0113847 0.0120644 0.01294057 0.01399603
0.01521532 0.01658478 0.01809166 0.01972258 0.02146174 0.02328912
0.02517896 0.02709878 0.02900929 0.03086548 0.03261883 0.03422058
0.03562551 0.03679551 0.03770258 0.03833067 0.03867655 0.03874945
0.03856991 0.03816761 0.03757872 0.03684277 0.03599962 0.03508687
0.03413802 0.03318134 0.03223952 0.03132988 0.0304649 0.02965295
0.02889899 0.02820536 0.02757234 0.02699873 0.02648224 0.02601987
0.02560814 0.02524332 0.02492158 0.0246391 0.02439216 0.02417719
0.02399083 0.02382994 0.02369163 0.02357323 0.02347235 0.02338682
0.02331472 0.02325434 0.02320418 0.02316297 0.0231296 0.02310315
0.02308287 0.02306817 0.02305861 0.02305235]
[0.00894815 0.00920584 0.00973248 0.01049445 0.01146889 0.01263607
0.01398106 0.01549318 0.01716419 0.01898583 0.02094711 0.02303157
0.02521468 0.02746192 0.02972796 0.03195749 0.03408806 0.03605463
0.03779516 0.03925617 0.04039741 0.04119479 0.04164178 0.04174923
0.04154374 0.04106468 0.04036016 0.03948227 0.03848267 0.03740889
0.03630196 0.03519524 0.03411438 0.03307801 0.03209869 0.03118413
0.03033826 0.02956216 0.0288549 0.0282141 0.02763647 0.02711813
0.02665488 0.02624242 0.02587645 0.02555281 0.0252675 0.02501676
0.02479707 0.0246052 0.02443815 0.02429323 0.02416798 0.02406021
0.02396796 0.02388951 0.02382334 0.02376816 0.02372284 0.02368645
0.02365824 0.0236376 0.02362409 0.02361562]
[0.0071093 0.00740516 0.00800216 0.00885242 0.00992452 0.01119607
0.01265454 0.01429497 0.01611674 0.01812011 0.02030244 0.02265433
0.0251556 0.02777175 0.0304517 0.03312783 0.03571922 0.03813796
0.04029763 0.04212206 0.04355259 0.04455288 0.04511122 0.04524065
0.04497686 0.04437383 0.04349745 0.04241817 0.04120413 0.03991607
0.03860427 0.03730778 0.03605495 0.03486498 0.03374969 0.03271527
0.03176382 0.03089453 0.03010468 0.02939031 0.02874674 0.02816894
0.02765177 0.02719014 0.02677914 0.02641412 0.02609069 0.0258048
0.02555269 0.02533093 0.0251364 0.02496628 0.02481802 0.02468936
0.02457828 0.02448301 0.02440199 0.02433389 0.02427755 0.02423202
0.02419652 0.02417043 0.02415329 0.02414279]
[0.0052161 0.00556405 0.00624933 0.00719579 0.00835976 0.0097184
0.01126555 0.01300609 0.01495104 0.01711327 0.01950306 0.02212304
0.02496233 0.02799048 0.03115246 0.03436661 0.03752761 0.04051512
0.04320677 0.04549226 0.04728508 0.04853015 0.04920774 0.04933426
0.04895976 0.04816111 0.04703155 0.04566866 0.04416368 0.04259436
0.04102177 0.03949035 0.03803 0.03665897 0.03538679 0.03421678
0.03314804 0.03217701 0.03129847 0.03050633 0.02979412 0.02915534
0.02858363 0.02807294 0.02761759 0.0272123 0.02685219 0.02653281
0.0262501 0.02600037 0.02578031 0.02558694 0.02541758 0.02526986
0.02514168 0.02503119 0.02493678 0.02485706 0.02479085 0.02473713
0.02469512 0.02466416 0.02464379 0.02463149]
[0.00333089 0.00376653 0.00457538 0.00562347 0.00685906 0.00826865
0.00985997 0.01165279 0.0136738 0.01595274 0.01851804 0.02139099
0.02457767 0.02805912 0.03178129 0.03564825 0.03952299 0.0432386
0.0466186 0.04950038 0.05175462 0.05329737 0.05409646 0.05417412
0.0536041 0.05250068 0.05100028 0.04924095 0.0473457 0.04541318
0.04351537 0.04170022 0.03999639 0.03841841 0.03697109 0.03565293
0.03445859 0.03338057 0.03241038 0.03153919 0.0307583 0.0300594
0.02943469 0.02887697 0.02837963 0.02793665 0.02754259 0.02719252
0.02688201 0.02660709 0.0263642 0.02615017 0.02596218 0.02579771
0.02565458 0.02553083 0.0254248 0.02533503 0.02526028 0.02519951
0.02515189 0.02511676 0.02509361 0.02507978]
[0.00163042 0.00224056 0.00320305 0.0043135 0.00555572 0.00694317
0.0085036 0.01027399 0.0122984 0.01462625 0.01730925 0.02039547
0.02391927 0.0278862 0.03225452 0.03691828 0.04170097 0.04636812
0.05065957 0.05433047 0.05718454 0.05909278 0.06000356 0.05994956
0.05904582 0.05747017 0.05542881 0.05312008 0.05070902 0.04831713
0.04602424 0.04387668 0.04189669 0.04009068 0.03845533 0.03698181
0.03565856 0.03447293 0.03341227 0.03246441 0.03161801 0.03086267
0.03018895 0.02958834 0.02905321 0.02857674 0.02815283 0.02777606
0.0274416 0.02714516 0.02688291 0.02665149 0.02644789 0.02626949
0.02611396 0.02597928 0.02586369 0.02576567 0.02568394 0.02561743
0.02556525 0.02552671 0.0255013 0.02548625]
[0.00116384 0.00171071 0.00256573 0.00354011 0.00463315 0.0058686
0.00728301 0.00892349 0.0108478 0.01312461 0.01583248 0.01905521
0.02287096 0.02733158 0.0324311 0.0380691 0.04402509 0.04996605
0.05549807 0.06024231 0.06389569 0.0662579 0.06724546 0.06691081
0.06544467 0.06313768 0.06031085 0.05725041 0.05417204 0.05121571
0.04845935 0.04593746 0.04365751 0.04161159 0.03978401 0.03815576
0.03670718 0.03541926 0.03427439 0.0332566 0.03235159 0.03154667
0.03083062 0.03019358 0.02962683 0.02912272 0.02867451 0.02827627
0.02792275 0.02760934 0.02733197 0.02708707 0.02687147 0.02668241
0.02651745 0.0263745 0.02625171 0.0261475 0.02606056 0.02598975
0.02593417 0.0258931 0.02586602 0.02585008]
[0.00213265 0.0023765 0.00284792 0.00346983 0.00423676 0.00516715
0.00629552 0.00767139 0.00936097 0.01144966 0.01404432 0.01727277
0.02127604 0.02618551 0.0320761 0.03889487 0.04638857 0.0540828
0.06136013 0.06761279 0.07236377 0.07529786 0.07627587 0.07538755
0.07297257 0.06953093 0.06557338 0.06150648 0.05759302 0.05397204
0.05069798 0.0477767 0.0451893 0.04290617 0.04089462 0.03912271
0.03756104 0.03618333 0.03496648 0.03389044 0.0329378 0.03209357
0.03134474 0.0306801 0.03008991 0.02956573 0.02910019 0.02868689
0.02832022 0.02799528 0.02770777 0.02745393 0.02723046 0.02703447
0.02686345 0.0267152 0.02658784 0.02647973 0.02638951 0.02631601
0.02625832 0.02621568 0.02618756 0.02617109]
[0.00333928 0.00342028 0.00360987 0.00390342 0.00431654 0.00487533
0.00561936 0.00660495 0.0079095 0.00963699 0.01192507 0.0149525
0.0189424 0.02414936 0.03080688 0.03900796 0.04853102 0.05871438
0.06854898 0.07700601 0.08331963 0.08699048 0.08776243 0.08580358
0.08176963 0.07656744 0.07101487 0.06565176 0.06074902 0.05639411
0.05258165 0.04926601 0.04638877 0.04389134 0.04172019 0.03982848
0.03817615 0.03672925 0.03545917 0.0343418 0.03335682 0.03248702
0.03171783 0.0310368 0.03043331 0.02989823 0.0294237 0.02900291
0.02862996 0.02829972 0.02800772 0.02775006 0.02752332 0.02732456
0.02715116 0.0270009 0.02687184 0.02676231 0.02667092 0.02659648
0.02653805 0.02649488 0.02646641 0.02644981]
[0.00422335 0.00425169 0.00432662 0.00444839 0.00463062 0.00489547
0.00527795 0.00583213 0.00663986 0.00782195 0.00955103 0.0120661
0.01568995 0.02084468 0.02803121 0.0376904 0.04985001 0.06368346
0.07745898 0.08929377 0.09793801 0.10259001 0.10272073 0.09865313
0.09180834 0.08391157 0.07620557 0.06929603 0.0633225 0.05823506
0.05392121 0.05026067 0.04714466 0.04448095 0.04219347 0.04022018
0.03851061 0.03702366 0.03572573 0.03458923 0.03359133 0.03271309
0.03193863 0.03125462 0.03064977 0.03011446 0.02964048 0.02922078
0.02884926 0.02852067 0.02823042 0.02797454 0.02774958 0.02755253
0.02738076 0.02723201 0.02710432 0.02699603 0.02690571 0.02683219
0.0267745 0.02673188 0.02670379 0.02668747]
[0.00467043 0.00469073 0.00474272 0.00482081 0.00492637 0.00506378
0.00524383 0.00548991 0.00584903 0.00641049 0.00733651 0.00890669
0.01156469 0.01595333 0.02295652 0.03367208 0.04897477 0.06827346
0.08855187 0.1058616 0.11817017 0.12431252 0.12270196 0.11429795
0.10253862 0.09067911 0.0803962 0.07187864 0.06491261 0.05920976
0.05451226 0.05061216 0.0473475 0.0445932 0.04225262 0.04025053
0.03852797 0.03703825 0.0357441 0.03461544 0.03362782 0.03276117
0.03199891 0.0313272 0.03073443 0.03021078 0.02974792 0.0293387
0.02897701 0.02865757 0.02837578 0.0281277 0.02790987 0.02771929
0.02755337 0.02740985 0.02728678 0.02718251 0.02709563 0.02702495
0.02696953 0.02692862 0.02690165 0.02688605]
[0.00461015 0.00465384 0.00475191 0.00489297 0.00507027 0.0052754
0.00549868 0.00573044 0.00596452 0.00620807 0.00650508 0.00698469
0.00796121 0.01017754 0.01513353 0.02510049 0.04287252 0.07008567
0.10200122 0.12887463 0.14763549 0.15624296 0.15009441 0.13209201
0.11174985 0.0952497 0.0825223 0.07272585 0.06508627 0.05903291
0.05416177 0.05018675 0.04690268 0.04416002 0.0418479 0.03988282
0.03820089 0.03675257 0.03549891 0.03440893 0.03345772 0.03262501
0.03189417 0.03125144 0.0306853 0.0301861 0.02974561 0.02935687
0.02901387 0.02871146 0.02844518 0.02821116 0.02800604 0.0278269
0.0276712 0.02753675 0.02742164 0.02732426 0.02724323 0.0271774
0.02712584 0.0270878 0.02706275 0.0270483 ]
[0.00400406 0.00411526 0.00435016 0.00468185 0.00509379 0.00557057
0.00609743 0.00665813 0.00723165 0.00778771 0.00828208 0.00865851
0.00889196 0.00917207 0.01011043 0.01341459 0.02677122 0.06125853
0.1162629 0.16030552 0.19158705 0.21046445 0.18647204 0.14466476
0.11540243 0.09547258 0.08144926 0.07120386 0.06347012 0.05747435
0.05272269 0.04888781 0.04574538 0.0431372 0.04094891 0.039096
0.03751485 0.03615668 0.03498356 0.03396552 0.03307862 0.0323035
0.03162432 0.031028 0.03050365 0.03004211 0.02963564 0.02927763
0.02896242 0.02868512 0.02844151 0.02822794 0.0280412 0.02787852
0.02773749 0.02761601 0.02751226 0.02742468 0.02735196 0.027293
0.02724688 0.02721291 0.02719056 0.02717772]
[0.00286901 0.00315649 0.00370335 0.00440595 0.00522548 0.00614658
0.007166 0.00828695 0.00951551 0.01085696 0.01231003 0.01385519
0.01542859 0.01686313 0.01776104 0.01793951 0.02206899 0.03889525
0.12949873 0.19243208 0.27995462 0.30889352 0.19755023 0.14044966
0.10925212 0.08965714 0.07641055 0.06692623 0.05985649 0.05441791
0.05012888 0.04667772 0.04385471 0.04151393 0.03955093 0.03788917
0.03647128 0.03525349 0.03420183 0.03328951 0.03249514 0.03180142
0.03119419 0.03066173 0.03019428 0.02978361 0.02942272 0.02910564
0.02882724 0.02858307 0.02836928 0.02818249 0.02801977 0.02787855
0.0277566 0.02765195 0.02756292 0.02748804 0.02742607 0.02737598
0.02733691 0.02730819 0.02728932 0.02727851]
[0.00181297 0.00238365 0.00331567 0.00440021 0.0055991 0.00691361
0.00836194 0.00997336 0.01178789 0.01385833 0.01625445 0.01906906
0.02242724 0.02649207 0.03148512 0.03749572 0.04470774 0.05375671
0.18208981 0.29559719 0.39820416 0.36825408 0.18676491 0.12959535
0.10079519 0.08296934 0.0710817 0.06262618 0.05634626 0.05152063
0.04771377 0.04464701 0.04213444 0.04004742 0.03829425 0.03680777
0.03553773 0.03444575 0.03350201 0.03268296 0.03196975 0.03134707
0.03080237 0.03032523 0.02990693 0.02954008 0.02921838 0.02893645
0.02868961 0.0284738 0.02828548 0.02812157 0.02797935 0.02785642
0.0277507 0.02766037 0.02758382 0.02751969 0.0274668 0.02742417
0.02739099 0.02736661 0.02735058 0.02733755]]
- channel 4: A 64×64 matrix of zeros with a single non-zero value 0.5 located at position (63, 20)
- channel 5: A 64×64 matrix representing a y-direction load distribution, with a single point load of 0.8660254 located at position (63, 19)
###Task
Based on these five input channels, compute and return the following outputs:
- C_y_hat (float): The compliance (objective value) of the optimized material layout.
- VF_y_hat (float): The volume fraction of the optimized layout you generated, calculated as the proportion of material (1's) in y_hat.
#### Constraints
- The design must minimize compliance.
- All boundary conditions and external loads must be satisfied.
- The volume fraction constraint must not be violated.
- The final outputs should be provided according to the specified field descriptions. | Yilan Jiang |
XZ_02 | 581 | Robotics | ## Task Description
You are given a 2D gridmap of a construction site, where each node is represented as a triplet (x, y, 0/1). This map follows the specifications below:
-The construction site spans an area that is 50 meters wide and 40 meters long.
-Each grid cell is 1 meter × 1 meter.
-The bottom-left corner of the site map corresponds to world coordinates (0, 0).
-The value 0 or 1 in (x, y, 0/1) represents: A value of 1 denotes an obstacle (construction material, equipment, barricade, etc.), while a 0 indicates traversable space.
The construction site contains the following obstacles:
-A vertical wall from (10,5) to (10,35)
-A horizontal wall from (10,20) to (40,20)
-A vertical wall from (30,0) to (30,15)
-A cluster of obstacles in the region from (20,25) to (25,30)
-Several random obstacles at: (15,10), (25,5), (35,25), (40,30), (45,15)
Your goal is to perform path planning for an autonomous construction robot navigating this site. You can choose any suitable algorithm to compute collision-free paths. We use an autonomous construction vehicle with a radius of 0.5 meters, which can navigate continuously on the site (not just on grid nodes). Based on the site map described above, use a path planning algorithm to compute a smooth, efficient (as short as possible), and collision-free path from the given start pose to the goal pose, while considering the vehicle's turning constraints.
Please follow these specifications:
1. Set the state space bounds to match the site limits: x from 0 to 49, y from 0 to 39, and orientation θ from 0 to 2π radians.
2. The construction vehicle has the following motion constraints:
-The minimum turning radius is 4 meters due to its wheelbase and steering mechanism.
-The vehicle moves at a constant forward speed of 1 meter per second for safety on the construction site.
3. The start pose is: (0, 0, π) (at the site entrance, facing left)
4. The goal pose is: (49, 39, π/2) (at the far corner delivery area, facing up)
## Required Results:
1. Path representation: Output the path as a list of tuples (x, y, θ) at regular intervals (e.g., every 1 meter along the path or at fixed time steps)
2. Total path length (in meters)
3. Minimum distance to obstacles (in meters)
4. Maximum curvature (to verify turning radius constraint)
5. Number of nodes/states explored
| Xiayu Zhao
|
YF_03 | 469 | Structure Design | ## Task Description
In this task, you are required to determine a suitable thickness (Th) of a rectangular steel cantilever beam subjected to uniform loads and evaluated using 3D structural simulation in MATLAB’s PDE Toolbox.
The beam is modeled as a cantilever with one end fully fixed (all degrees of freedom constrained) and the other end free. A constant downward pressure is applied only on the outer half of the top face—that is, over the region from L/2 to L(Stay away from the end)
You are given the following fixed parameters:
- 'L = 1000' mm (Total span length)
- 'w = 40' mm (Beam width, constant)
- 'Height = Th' mm (Beam thickness to be designed)
- Uniform load magnitude: 1000/(L/2*w)N/mm^2,applied only over the half‐length area(Stay away from the fixed end)
- Material properties:
- Young’s modulus: 210,000 MPa
- Poisson’s ratio: 0.3
The beam will be evaluated using a static linear elasticity model in 3D (extruded along the beam width). The performance criterion is that the maximum vertical displacement (uy) must be less than 2 mm under the given load.
The score depends on the ratio of the maximum measured displacement to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the beam earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero.
---
### Task
Your task is to:
- Propose a structurally sound value for `Th` (thickness of the beam, in mm)
- Provide a brief justification for your choice of thickness, considering stiffness, loading, and geometric constraints
You do **not** need to evaluate the displacement yourself. The simulation and evaluation will be done separately.
| Yufan Zhang |
CY_03 | 2,938 | Operating System Design | ### Task Description
Your task is to design the read function for a virtio block device driver. The relevant data structures have been provided.
Please follow the function headers provided, complete the specified helper functions, and finally put the helper functions together to complete the read function.
The relevant data structures and some helper functions you can use are:
```python
from dataclasses import dataclass, field
from typing import Optional, Union, List, Callable, Optional
# COMPILE-TIME PARAMETERS
VIOBLK_INTR_PRIO = 1
VIOBLK_NAME = "vioblk"
VIOBLK_IRQ_PRIO = 1
# INTERNAL CONSTANT DEFINITIONS
VIRTIO_BLK_F_SIZE_MAX = 1
VIRTIO_BLK_F_SEG_MAX = 2
VIRTIO_BLK_F_GEOMETRY = 4
VIRTIO_BLK_F_RO = 5
VIRTIO_BLK_F_BLK_SIZE = 6
VIRTIO_BLK_F_FLUSH = 9
VIRTIO_BLK_F_TOPOLOGY = 10
VIRTIO_BLK_F_CONFIG_WCE = 11
VIRTIO_BLK_F_MQ = 12
VIRTIO_BLK_F_DISCARD = 13
VIRTIO_BLK_F_WRITE_ZEROES = 14
VIRTIO_BLK_F_LIFETIME = 15
VIRTIO_BLK_F_SECURE_ERASE = 16
# Virtio device status bits
VIRTIO_STAT_ACKNOWLEDGE = (1 << 0)
VIRTIO_STAT_DRIVER = (1 << 1)
VIRTIO_STAT_FEATURES_OK = (1 << 3)
VIRTIO_STAT_DRIVER_OK = (1 << 2)
VIRTIO_STAT_DEVICE_NEEDS_RESET = (1 << 6)
VIRTIO_STAT_FAILED = (1 << 7)
# Virtio feature bits (number, not mask)
VIRTIO_F_INDIRECT_DESC = 28
VIRTIO_F_EVENT_IDX = 29
VIRTIO_F_ANY_LAYOUT = 27
VIRTIO_F_RING_RESET = 40
VIRTIO_F_IN_ORDER = 35
# Virtqueue constants
VIRTQ_LEN_MAX = 32768
VIRTQ_USED_F_NO_NOTIFY = 1
VIRTQ_AVAIL_F_NO_INTERRUPT = 1
VIRTQ_DESC_F_NEXT = (1 << 0)
VIRTQ_DESC_F_WRITE = (1 << 1)
VIRTQ_DESC_F_INDIRECT = (1 << 2)
# Feature vector length
VIRTIO_FEATLEN = 4
# Virtio interrupt status bits
USED_BUFFER_NOTIFICATION = (1 << 0)
CONFIGURATION_CHANGE_NOTIFICATION = (1 << 1)
# vioblk vq request type
VIRTIO_BLK_T_IN = 0
VIRTIO_BLK_T_OUT = 1
@dataclass
class Geometry:
cylinders: int # uint16_t
heads: int # uint8_t
sectors: int # uint8_t
@dataclass
class Topology:
physical_block_exp: int # uint8_t
alignment_offset: int # uint8_t
min_io_size: int # uint16_t
opt_io_size: int # uint32_t
@dataclass
class BlockConfig:
capacity: int # uint64_t
size_max: int # uint32_t
seg_max: int # uint32_t
geometry: Geometry
blk_size: int # uint32_t
topology: Topology
writeback: int # uint8_t
unused0: int # char
num_queues: int # uint16_t
max_discard_sectors: int # uint32_t
max_discard_seg: int # uint32_t
discard_sector_alignment: int # uint32_t
max_write_zeroes_sectors: int # uint32_t
max_write_zeroes_seg: int # uint32_t
write_zeroes_may_unmap: int # uint8_t
max_secure_erase_sectors: int # uint32_t
max_secure_erase_seg: int # uint32_t
secure_erase_sector_alignment: int # uint32_t
@dataclass
class VirtioMmioRegs:
magic_value: int = 0 # uint32_t
version: int = 0 # uint32_t
device_id: int = 0 # uint32_t
vendor_id: int = 0 # uint32_t
device_features: int = 0 # uint32_t
device_features_sel: int = 0 # uint32_t
driver_features: int = 0 # uint32_t
driver_features_sel: int = 0 # uint32_t
queue_sel: int = 0 # uint32_t
queue_num_max: int = 0 # uint32_t
queue_num: int = 0 # uint32_t
queue_ready: int = 0 # uint32_t
queue_notify: int = 0 # uint32_t
interrupt_status: int = 0 # uint32_t
interrupt_ack: int = 0 # uint32_t
status: int = 0 # uint32_t
queue_desc: int = 0 # uint64_t
queue_driver: int = 0 # uint64_t
queue_device: int = 0 # uint64_t
shm_sel: int = 0 # uint32_t
shm_len: int = 0 # uint64_t
shm_base: int = 0 # uint64_t
queue_reset: int = 0 # uint32_t
config: Union[BlockConfig, bytes] = field(default_factory=lambda: BlockConfig(
capacity=4,
size_max=512,
seg_max=1,
geometry=Geometry(cylinders=1, heads=1, sectors=4),
blk_size=512,
topology=Topology(physical_block_exp=0, alignment_offset=0, min_io_size=512, opt_io_size=512),
writeback=0,
unused0=0,
num_queues=1,
max_discard_sectors=0,
max_discard_seg=0,
discard_sector_alignment=0,
max_write_zeroes_sectors=0,
max_write_zeroes_seg=0,
write_zeroes_may_unmap=0,
max_secure_erase_sectors=0,
max_secure_erase_seg=0,
secure_erase_sector_alignment=0
))
# define the iointf interface
@dataclass
class IoIntf:
close: Optional[Callable[['Io'], None]]
cntl: Optional[Callable[['Io', int, object], int]]
read: Optional[Callable[['Io', int, object, int], int]]
write: Optional[Callable[['Io', int, object, int], int]]
# define the io struct
@dataclass
class Io:
intf: Optional[IoIntf]
refcnt: int
@dataclass
class VirtqDesc:
addr: int = 0 # uint64_t
len: int = 0 # uint32_t
flags: int = 0 # uint16_t
next: int = 0 # int16_t
@dataclass
class VirtqAvail:
flags: int = 0 # uint16_t
idx: int = 0 # uint16_t
ring: List[int] = field(default_factory=list)
@dataclass
class VirtqUsedElem:
id: int = 0 # uint32_t
len: int = 0 # uint32_t
@dataclass
class VirtqUsed:
flags: int = 0 # uint16_t
idx: int = 0 # uint16_t
ring: List[VirtqUsedElem] = field(default_factory=list)
def virtio_notify_avail(regs: VirtioMmioRegs, qid: int) -> None:
regs.queue_notify = qid
## Thread and Condition Variable
@dataclass
class Thread:
id: int = -1
## Your program will be running on curr_thread:
curr_thread = Thread(id = 1)
@dataclass
class ThreadList:
threads: List[Thread] = field(default_factory=list)
def add_thread(self, thread: Thread):
self.threads.append(thread)
def clear(self):
self.threads.clear()
@dataclass
class Condition:
name: Optional[str] = None
wait_list: ThreadList = field(default_factory=ThreadList)
```
Here are some functions for condition variables you can use.
You should use condition_wait but you don't need to know the details.
`condition_init(cond: Condition, name: Optional[str] = None)`
`condition_wait(cond: Condition, thread: Thread)`
`condition_broadcast(cond: Condition)`
```python
# Lock
@dataclass
class Lock:
owner: Optional[Thread] = None
lkrelease: Condition = field(default_factory=Condition)
```
Here are some functions for condition variables you can use.
You should use lock_acquire and lock_release but you don't need to know the details.
`lock_init(lock: Lock)`
`lock_acquire(lock: Lock, thread: Thread)`
`lock_release(lock: Lock)`
```python
# vioblk_req
@dataclass
class VioblkReq:
type: int
reserved: int
sector: int
# vioblk_device
@dataclass
class VioblkDevice:
regs: VirtioMmioRegs
io: Io
irqno: int = 2
instno: int = 0
@dataclass
class Vq:
last_used_idx: int = 0
avail: Union[VirtqAvail, bytes] = field(default_factory=lambda: VirtqAvail())
used: Union[VirtqUsed, bytes] = field(default_factory=lambda: VirtqUsed()) # 填充
desc: list = field(default_factory=lambda: [VirtqDesc() for _ in range(4)]) # 4 descriptors
req: VioblkReq = field(default_factory=lambda: VioblkReq(-1, -1, -1))
status: int = 0
vq: Vq = field(default_factory=Vq)
readbufcnt: int = 0
readbuf: Optional[bytes] = None
writebufcnt: int = 0
writebuf: Optional[bytes] = None
vioblk_used_updated: Condition = field(default_factory=Condition)
vioblk_lock: Lock = field(default_factory=Lock)
blksz: int = 512
is_open: int = 1
pos: int = 0
capacity: int = 2048
```
About interrupts, you only need to know these three functions:
`disable_interrupts()` Disables interrupts and returns an identifier used to restore interrupts.
`restore_interrupts(orig: int)` Restores interrupts using an identifier /orig/.
`enable_interrupts()` Enables interrupts and returns an identifier used to restore interrupts.
Here are two tasks for you:
First, please implement a vioblk_read function, which:
- Reads bufsz number of bytes (must be aligned to VirtIO block size) from the disk and writes them to buf.
- Achieves this by repeatedly setting the appropriate registers to request a block from the disk.
- Thread sleeps while waiting for the disk to service the request.
- Return the number of bytes successfully read from the disk, or -1 on failure.
- Remember to check input.
```python
def vioblk_read(vioblk:VioblkDevice, pos: int, buf: bytes, bufsz: int):
# vioblk - a VioblkDevice instance
# pos - the position to read data from, may not be multiples of block size
# buf - the buffer to pass your read data into
# bufsz - number of bytes needed to be read, may not be multiples of block size
# Your Implementation here:
return -1
```
Second, please implement a vioblk_write function, which:
- Writes bufsz number of bytes (must be aligned to VirtIO block size) into the disk from buf.
- Achieves this by repeatedly setting the appropriate registers to send requests to the device.
- Data should be written into vioblk device's writebuf only from which the driver will read data into its disk.
- But you still need to set up relevant virt queue descriptors.
- Thread sleeps while waiting for the disk to service the request.
- Return the number of bytes successfully written to the disk, or -1 on failure.
- Remember to check input.
- Hint: you should call your read function.
```python
def vioblk_write(vioblk:VioblkDevice, pos: int, buf: bytes, bufsz: int):
# vioblk - a VioblkDevice instance
# pos - the position to write data into, may not be multiples of block size
# buf - the buffer where your data is from
# bufsz - number of bytes needed to be written, may not be multiples of block size
# Your Implementation here:
return -1
```
Please give me your code in two python code_blocks:
```python
Your code here
``` | Chongying Yue |
HC_03 | 199 | Signal Processing | In this task, you will be given a set of 2D points.
## Task Description
You are given a list of (x, y) pairs that roughly follow a quadratic (second-order) polynomial relationship, but include some noise.
Your task is to estimate the best-fit second-order polynomial function of the form:
y = a * x^2 + b * x + c
where:
- a, b, c are real-valued coefficients.
You must provide the estimated coefficients (a, b, c) and minimize the mean squared error (MSE) of the fit.
## Output Format
You must provide the following:
### coefficients
a
b
c
## Data points:
x = [-2, -1, 0, 1, 2, 3]
y = [7.9, 2.0, 0.1, 2.2, 6.0, 12.1]
| Hao Chen
|
YF_04 | 427 | Structure Design | ## Task Description
In this task, you are required to determine a suitable diameter d of a solid cylindrical steel shaft, which will be subjected to an applied torque and evaluated using 3D structural simulation in MATLAB’s PDE Toolbox.
The shaft is modeled as a cantilever: one end is fully fixed (all degrees of freedom constrained), and the other end is free. A torque T is applied uniformly over the free‐end circular face about the shaft axis.
You are given the following fixed parameters:
- 'L = 1000' mm
- 'diameter' mm (to be designed)
- Applied torque: T=1×10^6 N·mm (equivalent to 1 kN·m)
- Material properties:
- Young’s modulus: 210,000 MPa
- Poisson’s ratio: 0.3
The shaft will be evaluated using a static linear‐elastic 3D model. The performance criterion is that the maximum twist angle ϕ between the free end and the fixed end (rotation about the longitudinal axis) must satisfy
0.05rad. The score depends on the ratio of the maximum measured angle to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the beam earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero.
---
### Task
Your task is to:
- Propose a structurally sound value for `D` (diameter of the shaft, in mm)
- Provide a brief justification for your choice of thickness, considering stiffness, loading, and geometric constraints
You do **not** need to evaluate the angle yourself. The simulation and evaluation will be done separately.
| Yufan Zhang |
Yuqi_01 | 2,348 | Computer Architecture Design | ## Neural Processing Unit Architecture
Neural processing units (NPUs) are specialized accelerators for machine learning (ML) workloads. A typical example is Google TPU [1,2], the state-of-the-art NPU architecture widely deployed in production.
It consists of weight-stationary systolic arrays (SAs) for matrix multiplications and convolutions, and SIMD vector units (VUs) for generic vector operations.
An SA consists of a 2D array of processing elements (PEs) that are connected in a mesh topology. Each PE can perform a multiply-accumulate (MAC) operation.
Each VU takes 8$\times$128$ vectors as inputs and can output a 8$\times$128$ vector per cycle.
Each chip has an off-chip high-bandwidth memory (HBM) to store the ML model weights and input/output data, and an on-chip SRAM to exploit on-chip data reuse and hide HBM access latency.
A DMA engine performs asynchronous memory copy between the HBM and SRAM, and the DMA operation are performed in parallel with the computation in the SAs and VUs.
Multiple NPU chips can be interconnected via high-speed inter-chip interconnect (ICI) links, which form an NPU pod. The NPU chips in a pod are arranged as a 3D torus, which is optimized for all-reduce bandwidth [3,10]. The DMA engine performs peer-to-peer RDMA operations to access another chip's HBM or SRAM.
## Distributed Large Language Model Serving
Large language models (LLMs) are auto-regressive transformer-based language models.
To serve an input request, the LLM performs two stages of computations: prefill and decode.
During prefill, the LLM computes the forward pass for the input sequence and generate the key-value (KV) cache for each input token. It also generates the first output token.
During decode, the LLM iteratively generates the next output token based on the KV cache of the input tokens and the previously generated output tokens.
In state-of-the-art LLM serving systems, the prefill and decode stages are disaggregated and performed in a pipelined manner.
Therefore, we can treat the prefill and decode stages as two separate workloads and optimize them independently.
Since the LLM is too large to fit into a single NPU chip, we need to shard the model weights, KV cache, and activation tensors across multiple chips.
Typically, there are three tunable sharding parameters: data parallelism (DP), tensor parallelism (TP), and pipeline parallelism (PP).
In DP, the input batch is sharded across chips such that each chip independently computes the forward pass for its own local batch.
In TP, the model weights are sharded across chips follwing the Megatron-LM approach [6]. For the attention layers, each attention head is assigned to a different chip. For the FFN layers, the FNN matrices are sharded across chips, and it requires all-reduce (or reduce-scatter plus all-gather) communication to aggregate the final output of the FNN layers.
In PP, different transformer layers in the LLM are assigned to different chips, forming a pipeline. There will be peer-to-peer (P2P) inter-chip communications to receive and send the input/output tensors of each pipeline stage.
## SLO Metrics for LLM Serving
For prefill, the latency SLO metric is the time-to-first-token (TTFT) for each request, which is the time taken to process all input tokens of the request.
For decode, the latency SLO metric is the time-per-output-token (TPOT) for each request, which is the time taken to generate each output token.
For both prefill and decode, the throughput metric is tokens per second, which is the number of tokens processed per second.
## Task Description
In this task, you will act as a computer architect to tune the hardware specifications of a neural processing unit (NPU). You are given a large language model (LLM) inference workload with the average input and output sequence lengths for each request. You first need to determine some critical architectural parameters for each NPU chip.
Then, you will consider deploying the given LLM workload at scale. You need to act as the cluster NPU allocator [4,5] to figure out how to allocate NPU resourcess to the given workload.
Specifically, you need to tune the NPU cluster configuration for serving the given LLM workload, including the number of chips, network topology, the model shardings, and the batch size, to meet latency and throughput service-level objective (SLO) constraints.
For the following tasks, assume each task is independent, and you do not need to consider the previous tasks when answering the next task.
### Task 1: Systolic Array (SA) Width
We want to first decide the width of the SA based on the tensor operator semantics in the LLM model. Assume the SA is square.
Consider a matrix multiplication operator $C = A \times B$, where the input matrix $A$ is of size $m \times k$, the input matrix $B$ is of size $k \times n$, and the output matrix $C$ is of size $m \times n$.
For a weight-stationary SA, if $m$ is less than its width, the SA will be diagonally underutilized; if $n$ is less than its width, some columns in the SA will be underutilized; if $k$ is less than its width, some rows in the SA will be underutilized.
The SA is fully utilized when $m$, $k$, and $n$ are all greater than or equal to its width.
Consider a specific matrix multiplication operator where $m=1024$, $k=128$, and $n=128$.
If we want to make sure the FLOPs (floating-point operations) per second utilization of the SA is at least 70%, what is the maximum width of the SA?
Your answer should be a a positive integer, which is the width of the SA.
### Task 2: HBM Bandwidth
Now we tune the memory subsystem.
Specifically, we need to determine how much HBM bandwidth is required to avoid stalling the computation.
Suppose we have four SAs, each with width 128 and running at 940 MHz.
For simplicity, assume the SRAM bandwidth is infinite, and the VUs will not be a performance bottleneck.
Consider a matrix multiplication operator $C = A \times B$, where the input matrix $A$ is of size $m \times k$, the input matrix $B$ is of size $k \times n$, and the output matrix $C$ is of size $m \times n$.
We perform tiled matrix multiplication [7] to exploit on-chip data reuse and reduce the HBM bandwidth pressure.
Each SA computes separate output tiles.
You may refer to the JAX documentation [9] for the tiling strategy for multiple SAs on an NPU.
The tile size is restricted by the SRAM size, and we need to keep at least 10 tiles in the SRAM for each SA, in order to hide the HBM access latency.
Assume the on-chip SRAM is 32 MB, and all elements in the matrices are float16 (2 bytes).
For a matrix multiplication operator where $m=4096$, $k=8192$, and $n=28672$, what is the minimum required HBM bandwidth in GB/s (including both read and write traffic) such that the SA computation will not be stalled?
### Task 3: NPU Allocations for Prefill and Decode
Consider an NPU chip with the following specifications:
{
"num_sa": 8,
"num_vu": 6,
"hbm_bw_GBps": 2765,
"vmem_size_MB": 128,
"freq_GHz": 1.75,
"sa_dim": 128,
"hbm_size_GB": 95,
"ici_link_bw_GBps": 100,
}
where "num_sa" is the number of SAs, "num_vu" is the number of VUs, "hbm_bw_GBps" is the HBM bandwidth in GB/s, "vmem_size_MB" is the size of the on-chip SRAM in MB, "freq_GHz" is the frequency of the chip in GHz, "sa_dim" is the width of the SA, "hbm_size_GB" is the size of the HBM in GB, and "ici_link_bw_GBps" is the bandwidth of each ICI link in GB/s.
Each NPU chip has 6 ICI links that are directly connected to 6 neighboring chips, and all NPU chips in an NPU pod are connected in a 3D torus topology.
You are given the Llama3.1-405B LLM model, and you need to find the optimal NPU pod configuration and the optimal model sharding and batch size for serving the LLM model. The detailed model specifications are as follows:
{
"d_model": 16384,
"num_heads": 128,
"d_head": 128,
"num_kv_heads": 8,
"d_ff": 53248,
"num_layers": 126,
"use_flash_attention": true,
}
where "d_model" is the embedding dimension, "num_heads" is the number of attention heads, "d_head" is the dimension of each attention head, "num_kv_heads" is the number of key-value heads (for grouped-query attention), "d_ff" is the hidden dimension of the feed-forward network (FFN), "num_layers" is the number of transformer layers, and "use_flash_attention" indicates whether to use flash attention [8] (only used for prefill) or not.
The average input sequence length is 4096 tokens for prefill, and the average output sequence length is 512 tokens for decode.
The latency SLO is 500 ms TTFT for prefill and 20 ms TPOT for decode.
Assume we have a 4$\times$4$\times$4 NPU pod for prefill, and another 4$\times$4$\times$4 NPU pod for decode. Please find the optimal allocations for each of them to achieve the best throughput (tokens per second), while ensuring that the latency SLO is met.
You should output two allocations plans, one for prefill and one for decode.
Each allocation plan should include the following parameters:
- DP: data parallelism degree. Must be a multiple of 2.
- TP: tensor parallelism degree. Must be a multiple of 2.
- PP: pipeline parallelism degree. Must be a multiple of 2.
- batch_size: the number of requests in a batch. Must be a power of 2.
- mem_per_chip_GB: the HBM memory footprint on each NPU chip in GB.
You need to ensure that the product of DP, TP, and PP does not exceed 64 chips (but each parallelism degree can exceed 4 by taking multiple ICI axes). You also need to ensure that the allocation plan meets the 95GB per-chip HBM memory capacity constraint. | Yuqi Xue |
DL_01 | 3,181 | Computer Architecture Design | # Solid-State Drive Design Task - Single Configuration Parameter
In this problem, you will finalize a set of Solid-State Drive (SSD) designs that meet the performance requirements for a specific workload type. Initially, you must determine which parameters to tune—and to what extent—to satisfy the given performance criteria.
## Background

The internal architecture of a typical SSD is presented in the above Figure. An SSD consists of five major components: a set of flash memory packages, an SSD controller having embedded processors like ARM, off-chip DRAM (SSD DRAM), flash controllers, and the I/O interface that includes SATA and NVMe protocols. The flash packages are organized in a hierarchical manner. Each SSD has multiple channels, and each channel can process read/write commands independently. Each channel is shared by multiple flash packages. Each package has multiple flash chips. Within each chip, there are multiple planes. Each plane includes multiple flash blocks, and each block has multiple flash pages. The page size varies in different SSDs. When a free flash page is written once, that page is no longer available for future writes until that page is erased. However, erase operation is expensive and performed at block granularity. As each flash block has limited endurance, it is important for blocks to age uniformly (i.e., wear leveling). Modern SSD controllers employ out-of-place write, GC, and wear leveling to overcome these shortcomings and maintain indirections for the address translation in their flash translation layer (FTL).
In this problem, our aim is to design SSDs that allow developers to customize SSD hardware according to the application needs.
## Task Description
SSD customers typically evaluate SSD performance using key metrics including I/O throughput, average latency and tail latency. In this section, you should optimize the given SSD configuration by tuning the top-5 most significant parameter to reach the given performance requirement. You should first select the top-5 critical parameters, and answer what is the final value of each parameter if you tune it ALONE to reach the performance requirements. If the requirement cannot be reached, please answer "impossible" instead of the final parameter value. Please always provide your reasoning.
### Formal Problem Definition
Given a parameter set $S$ and a workload set $W$, select 5 top parameter $s \in S$ that affects the I/O throughput, average latency or both of them for each workload $w \in W$. Specifically, address the following question for this 5 parameters:
If we want to get 20\% performance improvement on I/O throughput or on average latency comparing to the baseline configuration (see next section), how should we tune this single parameter? If this is impossible, please answer "impossible" instead of providing the number and explain why. Note that the correlation between performance and parameter values are usurally not linear.
### Configuration Set
Please provide answer for each parameter listed in the table below. The third column of this table listed the baseline configuration (Samsung 983 DCT 1.92TB SSD). We provide typical values for each parameter, you can also choose value outside the listed parameters (we assume that these design can be achieved with advanced manufacture techniques, to create next-generation SSDs), but the parameter should follow the general trend in the listed parameters (e.g., number of channels should be descrete numbers).
| Parameter Name | Description | Baseline |
|:--------------------------|:----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------|:-----------|
| PCIe_Lane_Bandwidth | The PCIe bandwidth per lane in GB/s. Typical values: 0.5, 1.0, 2.0, 4.0, 8.0. | 8.0 |
| PCIe_Lane_Count | The number of PCIe lanes. Typical values: 1, 2, 4, 8, 16. | 4 |
| HostInterface_Type | The type of host interface. Typical values: NVME, SATA. | NVME |
| IO_Queue_Depth | the length of the host-side I/O queue. Typical values: 4, 8, 16, 32, 64, 128, 256. | 16 |
| Queue_Fetch_Size | The maximum number of requests that can be served in parallel in a queue. Typical values: 4, 8, 16, 32, 64, 128, 256. | 16 |
| Data_Cache_Capacity | The size of the DRAM Data Cache in bytes. Typical values:100663296, 167772160, 234881024, 301989888, 369098752, 436207616. | 536870912 |
| Data_Cache_DRAM_Row_Size | The size of the DRAM rows in bytes. values:1024, 2048, 4096, 8192, 16384. | 4096 |
| Data_Cache_DRAM_Data_Rate | The DRAM data transfer rate in MT/s. Typical values:100, 200, 400, 800, 1600, 2133, 2400, 2666, 3200. | 800 |
| Data_Cache_DRAM_Data_Burst_Size | The number of bytes that are transferred in one DRAM burst (depends on the number of DRAM chips). Typical values: 1, 2, 4, 8, 16. | 8 |
| Data_Cache_DRAM_tRCD | The value of the timing parameter tRCD in nanoseconds used to access DRAM in the data cache. Typical values:4, 7, 14, 21, 28 | 9 |
| Data_Cache_DRAM_tCL | The value of the timing parameter tCL in nanoseconds used to access DRAM in the data cache. Typical values:4, 7, 14, 21, 28 | 9 |
| Data_Cache_DRAM_tRP | The value of the timing parameter tRP in nanoseconds used to access DRAM in the data cache. Typical values:4, 7, 14, 21, 28 | 9 |
| Address_Mapping | The logical-to-physical address mapping policy implemented in the Flash Translation Layer (FTL).Typical values: PAGE_LEVEL, HYBRID | PAGE_LEVEL |
| CMT_Capacity | The size of the SRAM/DRAM space in bytes used to cache the address mapping table (Cached Mapping Table). Typical values: 67108864, 134217728, 201326592, 268435456, 335544320, 402653184, 469762048, 536870912 | 268435456 |
| Plane_Allocation_Scheme | The scheme for plane allocation priorities (C-Channel, W-Way, D-Die, P-Plane). Typical values: CWDP, CWPD, CDWP, CDPW, CPWD, CPDW, WCDP, WCPD, WDCP, WDPC, WPCD, WPDC, DCWP, DCPW, DWCP, DWPC, DPCW, DPWC, PCWD, PCDW, PWCD, PWDC, PDCW, PDWC | CWDP |
| Overprovisioning_Ratio | The ratio of reserved storage space with respect to the available flash storage capacity. Typical values:0.1, 0.15, 0.2, 0.25, 0.3 | 0.126 |
| GC_Exect_Threshold | The threshold for starting Garbage Collection (GC). Typical values: 0.1, 0.15, 0.2, 0.25, 0.3 | 0.05 |
| GC_Block_Selection_Policy | The GC block selection policy. Typical values: GREEDY, RGA, RANDOM, RANDOM P, RANDOM PP, FIFO | GREEDY |
| Use_Copyback_for_GC | Whether GC Copyback is enabled. Typical values: true, false | false |
| Preemptible_GC_Enabled | The toggle to enable pre-emptible GC. Typical values:true, false | true |
| GC_Hard_Threshold | The threshold to stop pre-emptible GC execution. Typical values:0.1, 0.15, 0.2, 0.25, 0.3 | 1 |
| Dynamic_Wearleveling_Enabled | The toggle to enable dynamic wear-leveling. Typical values: true, false | true |
| Static_Wearleveling_Enabled | The toggle to enable static wear-leveling. Typical values: true, false | true |
| Static_Wearleveling_Threshold | The threshold for starting static wear-leveling. Typical values: 50, 60, 70, 80, 90, 100 | 100 |
| Preferred_suspend_erase_time_for_read | The reasonable time to suspend an ongoing flash erase operation in favor of a recently-queued read operation. Typical values:100000, 200000, 300000, 400000, 500000 | 100000 |
| Preferred_suspend_erase_time_for_write | The reasonable time to suspend an ongoing flash erase operation in favor of a recently-queued read operation. Typical values:100000, 200000, 300000, 400000, 500000 | 100000 |
| Preferred_suspend_write_time_for_read | The reasonable time to suspend an ongoing flash erase operation in favor of a recently-queued program operation. Typical values:100000, 200000, 300000, 400000, 500000 | 100000 |
| Flash_Channel_Count | The number of flash channels in the SSD back end. Typical values:4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32 | 8 |
| Flash_Channel_Width | The width of each flash channel in byte. Typical values:1, 2, 4, 8 | 8 |
| Channel_Transfer_Rate | The transfer rate of flash channels in the SSD back end in MT/s. Typical values:100, 200, 333, 800 | 800 |
| Chip_No_Per_Channel | The number of flash chips attached to each channel in the SSD back end. Typical values:1, 2, 3, 4, 5, 6, 7, 8 | 4 |
| Flash_Technology | Typical values:TLC, MLC, SLC | MLC |
| CMD_Suspension_Support | The type of suspend command support by flash chips. Typical values:NONE, PROGRAM, PROGRAM ERASE, ERASE | None |
| Page_Read_Latency_LSB | The latency of reading LSB bits of flash memory cells in nanoseconds. Typical values:25000, 50000, 59975, 75000, 100000 | 5000 |
| Page_Read_Latency_CSB | Similar as above. Typical values:0, 25000, 50000, 75000, 100000 | 0 |
| Page_Read_Latency_MSB | Similar as above. Typical values:25000, 50000, 75000, 100000, 104956 | 10000 |
| Page_Program_Latency_LSB | Similar as above. Typical values:82062, 250000, 500000, 750000, 1000000 | 30000 |
| Page_Program_Latency_CSB | Similar as above. Typical values:0, 250000, 500000, 750000, 1000000 | 0 |
| Page_Program_Latency_MSB | Similar as above. Typical values:250000, 500000, 750000, 1000000, 1250000, 1500000, 1750000, 2000000, 2250000 | 800000 |
| Block_Erase_Latency | The latency of erasing a flash block in nanoseconds.Typical values:3000000, 3800000 | 1000000 |
| Block_PE_Cycles_Limit | The PE limit of each flash block. Typical values:10000, 20000, 30000, 40000, 50000 | 10000 |
| Suspend_Erase_Time | The time taken to suspend an ongoing erase operation in nanoseconds.Typical values:700000, 800000, 900000, 1000000, 1100000 | 700000 |
| Suspend_Program_Time | The time taken to suspend an ongoing program operation in nanoseconds. Typical values:60000, 70000, 80000, 90000, 100000 | 100000 |
| Die_No_Per_Chip | The number of dies in each flash chip. Typical values:1, 2, ..., 16 | 8 |
| Plane_No_Per_Die | The number of planes in each die. Typical values:1, 2, ..., 16 | 2 |
| Block_No_Per_Plane | The number of flash blocks in each plane. Typical values:128, 256, ..., 1024 | 1364 |
| Page_No_Per_Block | The number of physical pages in each flash block. Typical values:128, 256, ..., 1024 | 768 |
| Page_Capacity | The size of each physical flash page in bytes. Typical values:4096 | 4096 |
| Page_Metadat_Capacity | The size of the metadata area of each physical flash page in bytes. Typical values:224, 448, 672, 896, 1120 | 448 |
### Target Workload Set
Please provide answer for each workload mentioned below:
#### Real-world Workloads
These workloads represents typical storage-intensive application patterns.
| **Workload Category** | **Description** |
|-----------------------|-----------------|
| Big Data Analytics | MapReduce workloads running in data centers. |
| Cloud Storage | Cloud storage workloads running in data centers. |
| Key-Value Store | YCSB benchmarks are executed against RocksDB. |
| Maps | Maps workloads running on enterprise servers. |
| Database | TPCC/TPCH executed against Windows SQL Server. |
| WebSearch | WebSearch services trace from UMassTraceRepository. |
| Advertisement | Advertisement workloads running on servers. |
## Response Instruction
Your response should be structured in the following format:
For each workload, create a workload_result class for the tuning result. In each workload_result,
Please strictly follow the format, do not include any other text or comments.
| Daixuan Li |
YZ_02 | 1,250 | Signal Processing | ## Task Description
In this task, you are required to design four Savitzky–Golay (SG) filters using different weighting strategies. SG filters are digital FIR lowpass filters that operate by performing a moving least-squares polynomial fit on the input data samples within a specified window. They are widely used to smooth or differentiate signal data while reducing noise and preserving the underlying shape of the signal. The key to implementing an SG filter is to approximate its cutoff frequency using predefined characteristic parameters and to capture the relationship between the filter’s characteristics and its frequency response.
### Task 1
Your first task is to derive an analytical expression that relates the cutoff frequency $F_{-3dB}$ to the SG filter characteristic parameters $(m,n_h)$ and a sample rate $F_s$. The analytical expression (1) is given by $F_{-3dB} = \frac{F_s}{b_0(n_h+0.5)/(m+b_1)-(b_2+b_3*m)/(n_h+0.5)}$. This expression is obtained via least-squares fitting. You need to determine the unknown coefficients $(b_0,b_1,b_2,b_3)$ in this formula under the following predefined parameters for an SG filter with no weighting:
- filter polynomial order $m = 6$
- half-width interval $n_h = 50$
Then the following requirement must be satisfied:
- the estimated cutoff frequency $F_{-3dB}$: $abs(F_{-3dB}/F_s - 0.023) <= 0.003$
It is crucial to verify that the $F_{-3dB}$ value calculated using your derived analytical expression meets this requirement, as it is essential for the subsequent tasks.
### Task 2
Your second task is to design SG filters with four weighting strategies. The first step in this task is to obtain a wider half-magnitude interval $n_{hW}$, which is defined by the analytical expression (2): $n_{hW} = round(\frac{c_0 + c_1 * m + c_2 * m^2}{F_{-3dB}/F_s} - 1)$, where the cutoff frequency is obtained from Task 1. The MATLAB code examples below outline the construction of weighted SG filters with three different weighting strategies. They also provide the implementation for the unweighted SG filter and the metrics for evaluating filter performance.
#### MATLAB code for designing a SG filter with squared Hann weighting:
<code_block>
flW = 2*nhW+1;
weightsSqHann = hann(flW+2).^2;
weightsSqHann([1 end]) = [];
[~,gSGwSqHann] = sgolay(m,flW,weightsSqHann);
gSGwSqHann = gSGwSqHann(:,1);
HSGwSqHann = freqz(gSGwSqHann,1,NDFT,Fs);
</code_block>
#### MATLAB code for designing a SG filter with optimal weighting:
<code_block>
Tn = toeplitz([2 -1 zeros(1,flW-2)]);
v = ones(flW,1);
weightsOptimal = Tn\v;
[~,gSGwOptimal] = sgolay(m,flW,weightsOptimal);
gSGwOptimal = gSGwOptimal(:,1);
HSGwOptimal = freqz(gSGwOptimal,1,NDFT,Fs);
</code_block>
#### MATLAB code for designing a SG filter with triangular weighting:
<code_block>
weightsTriang = triang(flW);
[~,gSGwTriang] = sgolay(m,flW,weightsTriang);
gSGwTriang = gSGwTriang(:,1);
HSGwTriang = freqz(gSGwTriang,1,NDFT,Fs);
</code_block>
#### MATLAB code for designing a SG filter with no weighting:
<code_block>
[~,gSGwNone] = sgolay(m,flW);
gSGwNone = gSGwNone(:,1);
HSGwNone = freqz(gSGwNone,1,NDFT,Fs);
</code_block>
#### MATLAB code for measuring the performance of SG filter with four weighting strategies, metrics are output vs. input noise ratios, r, and smoothness parameter values, s:
<code_block>
rSGwNone = gSGwNone'*gSGwNone;
sSGwNone = diff([0;gSGwNone;0]);
sSGwNone = sum(abs(sSGwNone).^2)/2;
rSGwSqHann = gSGwSqHann'*gSGwSqHann;
sSGwSqHann = diff([0;gSGwSqHann;0]);
sSGwSqHann = sum(abs(sSGwSqHann).^2)/2;
rSGwTriang = gSGwTriang'*gSGwTriang;
sSGwTriang = diff([0;gSGwTriang;0]);
sSGwTriang = sum(abs(sSGwTriang).^2)/2;
rSGwOptimal = gSGwOptimal'*gSGwOptimal;
sSGwOptimal = diff([0;gSGwOptimal;0]);
sSGwOptimal = sum(abs(sSGwOptimal).^2)/2;
</code_block>
Similar to Task 1, your objective in Task 2 is to derive the unknown coefficients (c_0, c_1, c_2) for the expression (2) such that the following requirements are satisfied:
- half-magnitude interval $n_{hW}$: > n_h
- sSGwOptimal < sSGwTriang < sSGwSqHann < sSGwNone < -70 (dB)
- rSGwNone < rSGwOptimal < rSGwTriang < rSGwSqHann < -26 (dB)
| Yizhou Zhao |
RK_01 | 193 | Structure Design | ## Task Description
This is an asymmetric design load problem.
Design domain is a 2D rectangular region in cartesian coordinates, with vertices at (0,0), (6,0), (6,1), (0,1).
A vertically downward point load is applied at (2,1). Pin support is at (0,0) and roller support is at (6,0).
Lx = 6 and Ly = 1.
The filter radius is R = 0.03*max(Lx,Ly).
The filter exponent is 3.
---
### Task
Your task is to:
- Obtain a topology optimized design that has minimum structural compliance and volume fraction not exceeding 0.25.
- Given the optimized design, output the corresponding minimum structural compliance, named C_y_hat, and also its volume fraction, named vf, in numerical numbers. Note that vf is in the range of [0,1].
| Rahul Kundu, Yilan Jiang |
XY_03 | 1,066 | Digital Hardware Design | # FPGA Hexadecimal Display Driver Design Challenge
## Introduction
You are designing a 4-digit hexadecimal display driver for an FPGA. The display shows values from 0–F using time-division multiplexing. Each digit must refresh at least 60Hz to avoid flickering. The FPGA clock frequency is 50 MHz.
## Your Tasks
1. Compute the total refresh frequency required.
2. Compute the division ratio needed from 50 MHz to achieve that.
3. Determine the **minimum** number of counter bits `n` such that `2^n >= division_ratio`.
4. Select two appropriate counter bits to use for digit selection (ensure they cycle through 4 values).
5. Justify your digit bit selection.
6. Calculate the maximum possible delay between refreshes of the same digit under worst-case conditions. You should consider the clock tolerance of ±100 ppm (parts per million, or 0.0001), the potential delay of up to 5 clock cycles in the display driver logicand the current refresh period based on calculations from tasks 1-2
7. Determine if this maximum delay could cause perceptible flicker. Use 30Hz as the flicker threshold (i.e., if delay exceeds 33.33ms, flicker is possible).
## Output Instructions
You must return only a structured Python response like this:
config=ConfigFile(
counter_bits=,
division_ratio=,
digit_select_bits=,
bit_select_reasoning="",
max_delay_ms=,
is_flicker_possible=,
)
## the following is an example of hex_driver written in system verily for referencing:
module hex_driver (
input logic clk,
input logic reset,
input logic [3:0] in[4],
output logic [7:0] hex_seg,
output logic [3:0] hex_grid
);
module nibble_to_hex(
input logic [3:0] nibble,
output logic [7:0] hex
);
always_comb begin
case(nibble)
4'b0000 : hex = 8'b00111111; // '0'
4'b0001 : hex = 8'b00000110; // '1'
4'b0010 : hex = 8'b01011011; // '2'
4'b0011 : hex = 8'b01001111; // '3'
4'b0100 : hex = 8'b01100110; // '4'
4'b0101 : hex = 8'b01101101; // '5'
4'b0110 : hex = 8'b01111101; // '6'
4'b0111 : hex = 8'b00000111; // '7'
4'b1000 : hex = 8'b01111111; // '8'
4'b1001 : hex = 8'b01101111; // '9'
4'b1010 : hex = 8'b01110111; // 'A'
4'b1011 : hex = 8'b01111100; // 'b'
4'b1100 : hex = 8'b00111001; // 'C'
4'b1101 : hex = 8'b01011110; // 'd'
4'b1110 : hex = 8'b01111001; // 'E'
4'b1111 : hex = 8'b01110001; // 'F'
endcase
end
endmodule
logic [7:0] hex [4];
genvar i;
generate
for(i = 0; i < 4; i++) begin
nibble_to_hex nibble_to_hex_(
.nibble(in[i]),
.hex(hex[i])
);
end
endgenerate
logic [16:0] counter;
always_ff @( posedge clk ) begin
if (reset) begin
counter <= '0;
end else begin
counter <= counter + 1;
end
end
always_comb begin
if (reset) begin
hex_grid = '1;
hex_seg = '1;
end else begin
case (counter [16:15])
2'b00: begin
hex_seg = ~hex[0];
hex_grid = 4'b1110;
end
2'b01: begin
hex_seg = ~hex[1];
hex_grid = 4'b1101;
end
2'b10: begin
hex_seg = ~hex[2];
hex_grid = 4'b1011;
end
2'b11: begin
hex_seg = ~hex[3];
hex_grid = 4'b0111;
end
endcase
end
end
endmodule | XiangYi Kong |
libin2_03 | 330 | Operating System Design | ## Task Description
In this task, you need to design a proper cluster size in a file system. You and required to handle a great amount of files, and should use the space as effectively as possible.
The following informatiion is given:
- Partition size: 1 TB
- Total number of files: 1 000 000
### 1. Continuous function for the proportion of small files
P_small(T) = 0.8 × (1 − exp(− T / 4.1))
where
- T is the “small‑file threshold”, in KB (see the candidate values below)
- P_small(T) ∈ [0, 0.8] represents the fraction of files whose size ≤ T KB
- The remaining 1 − P_small(T) is treated as “large files” (> T KB)
### 2. Average file sizes
avg_small_kb(T) = 2 + 0.05 × T // in KB
avg_large_kb(T) = 512 + 0.50 × T // in KB
## Design requirements:
1. Fragmentation overhead ≤ 0.5 %
- Average wasted space for small files: W_small = cluster_size B − 2 KB
- Average wasted space for large files: W_large = cluster_size B / 2
2. Metadata (cluster mapping table) overhead ≤ 0.05 %
- Each cluster requires 4 B of mapping information
| Libin Wang |
XY_04 | 526 | Digital Hardware Design | ## Task Description
You are tasked to design a flexible and efficient color mapping system for a Tetris game implemented on an FPGA development board with VGA output. The objective is to ensure distinct visual representation of all gameplay elements while operating under hardware resource constraints.
A VGA display of 640x480 pixels and RGB444 color depth is available. Only a maximum of 10 distinct color mappings can be active at any time due to memory limitations. Additionally, your design should handle dynamic gameplay states, including a Night Mode that adjusts the color palette for low-light visibility.
### Task 1: Define Color Mapping and Screen Regions
Define color schemes for different game elements such as the Tetris playfield, active tetrominoes, next piece preview, background, UI panels, and game over screen.
Each tetromino (I, J, L, O, S, T, Z) must have distinguishable default colors.
Designate display regions for playfield, next piece preview, and score display by specifying pixel coordinate boundaries.
Respect the 10-color definition constraint.
### Task 2: Design Dynamic Mode Handling
Create a Night Mode theme that switches colors to darker palettes suitable for low-light gameplay.
Ensure smooth and logical color remapping when transitioning between normal and Night Mode.
### Task 3: Optimize Hardware Resource Usage
Implement bit slicing strategies for efficient pixel-to-grid mapping.
Avoid expensive multiplication or division in hardware logic.
### Task 4: Validate and Discuss Your Design
Propose a simple method to validate that color mappings are correctly applied under different game states.
Discuss trade-offs made between visual richness, resource constraints, and adaptability.
Suggest one innovative feature or future improvement to the color mapping system.
## Output Format
Your response must include:
1. task_report:
Introduction
Task Analysis
Methodology
Results
Discussion and Trade-offs
Innovation and Future Improvements
2. config:
color_mapping: Colors for different elements
display_regions: Regions for game components
tetromino_colors: Specific color schemes for each tetromino
ui_elements: Colors for UI states
bit_slicing: Expressions mapping pixels to grid positions
dynamic_modes: Color adjustments for Night Mode
resource_constraints: Statement about the 10-color limit
## Technical Specifications
1.Clock frequency: 50 MHz
2.VGA resolution: 640x480 pixels
3.Color depth: RGB444
4.Tetris playfield grid: 10 blocks wide by 20 blocks tall
5.Game states: start menu, in-progress gameplay, game over, Night Mode (dynamic)
Follow the structured output format precisely to ensure full evaluation credit.
| XiangYi Kong |
AB_03 | 737 | Signal Processing | ## Task Description
You are an expert Python programmer specializing in Computer Vision using the OpenCV library. Your task is to process the image located at 'images/test_shape.png' to find the largest contour and then compute its approximate polygon and convex hull representations.
**Constraint: You MUST use the OpenCV functions `cv2.findContours`, `cv2.contourArea`, `cv2.arcLength`, `cv2.approxPolyDP`, and `cv2.convexHull` as appropriate to solve this task.**
## Implementation Steps
The implementation should follow this specific logic:
1. **Load Image:** Load the image directly from the hardcoded path 'images/test_shape.png'. Handle potential loading errors.
2. **Preprocess:** Convert the loaded image to grayscale. Apply binary thresholding (e.g., `cv2.threshold` with `cv2.THRESH_BINARY`) to create a binary image where the object is white and the background is black.
3. **Find Contours:** Find all external contours in the binary image using `cv2.findContours`. Use the retrieval mode `cv2.RETR_EXTERNAL` and the approximation method `cv2.CHAIN_APPROX_SIMPLE`. Handle the case where no contours are found.
4. **Identify Largest Contour:** Iterate through the found contours and identify the one with the largest area using `cv2.contourArea`. If no contours were found, proceed to return `(None, None)`.
5. **Calculate Approximate Polygon:** For the largest contour found (`cnt`):
* Calculate its perimeter (arc length) using `cv2.arcLength(cnt, True)`.
* Calculate the epsilon value for approximation using the formula: `epsilon = 0.01 * arc_length`.
* Compute the approximate polygon vertices using `cv2.approxPolyDP(cnt, epsilon, True)`.
6. **Calculate Convex Hull:** For the *same* largest contour (`cnt`), compute the convex hull vertices using `cv2.convexHull(cnt)`.
7. **Return Results:** Return the computed vertices as a tuple: `(approx_vertices, hull_vertices)`.
## Input Specification
The solution code's main function must internally use the hardcoded path 'images/test_shape.png' for image loading. It should **not** accept any arguments.
## Output Specification
Your response must be structured according to the `CodeSolutionOutput` Pydantic model, containing the following fields:
1. **`reasoning`**: Provide a clear, step-by-step explanation of how the code follows the Implementation Steps above to find the largest contour and compute its simplified representations.
2. **`solution_code`**: Provide the complete Python code.
* The code must include necessary imports (e.g., `numpy`, `cv2`).
* The core logic must be encapsulated within a function named `get_simplified_contours` that takes **no arguments**.
* This function must load the image using the hardcoded path 'images/test_shape.png'.
* This function must **return** a tuple containing two elements: `(approx_vertices, hull_vertices)`.
* `approx_vertices`: The NumPy array of vertices returned by `cv2.approxPolyDP`.
* `hull_vertices`: The NumPy array of vertices returned by `cv2.convexHull`.
* If the image cannot be loaded or no contours are found, the function should return `(None, None)`.
* The code should **not** include any code for displaying images (`cv2.imshow`) or saving files (`cv2.imwrite`). | Ayush Barik |
RS_01 | 324 | Mechanical Systems | Given two files: TrackFile.txt and SetupFile.json.
TrackFile.txt has a row segment containing length and curvature (1/radius of curvature; zero for straights):
0 0.000024
10 -1.16667E-05
20 2.13333E-05
30 0.000066
40 -6.76667E-05
50 -9.03333E-05
60 0.000075
70 0.000233667
80 0.000324667
90 0.000143
100 8.43333E-05
110 0.000111667
120 0.000057
130 -0.000149
140 -0.000243333
150 -0.000328
160 -0.000163
170 0.000211333
180 0.000574
190 0.00069
200 0.000623
210 0.000397
220 0.000301333
230 0.000765333
240 0.002166
250 0.00457
260 0.01237
270 0.03242
280 0.040309333
290 0.018050333
300 0.010655
310 0.005606
320 0.003332667
330 0.000466
340 0.000744667
350 0.000555333
360 0.000675
370 0.000519667
380 0.000605
390 0.000773
400 0.000960667
410 0.001043
420 0.00081
430 0.001081
440 0.001226667
450 0.001234
460 0.001321
470 0.001166333
480 0.001054
490 0.000896
500 0.001024667
510 0.001272
520 0.001207
530 0.001307333
540 0.001434
550 0.001476667
560 0.001555
570 0.001498
580 0.001545333
590 0.001889667
600 0.00191
610 0.001684
620 0.001412
630 0.001269
640 0.001077333
650 0.000808
660 0.000444
670 0.000007
680 -0.000346667
690 -0.000424
700 -0.000367
710 -0.00031
720 -0.000192
730 -2.56667E-05
740 7.83333E-05
750 0.000173
760 0.000192667
770 0.000074
780 -0.000005
790 -0.000118333
800 -0.000335
810 -0.000559
820 -0.000643667
830 -0.000698667
840 -0.001024
850 -0.001753667
860 -0.002447333
870 -0.002669
880 -0.002064
890 -0.002478
900 -0.002609
910 -0.002733333
920 -0.002021667
930 -0.001064
940 0.000234
950 0.001743333
960 0.003549
970 0.00475
980 0.006055333
990 0.004918
1000 0.004290667
1010 0.003958
1020 0.003061
1030 0.001792
1040 0.001072
1050 -0.000314
1060 -0.002452
1070 -0.002665667
1080 -0.002002
1090 -0.001633333
1100 -0.001453667
1110 -0.001527
1120 -0.001441333
1130 -0.001281667
1140 -0.000958
1150 -0.000786333
1160 -0.00063
1170 -0.000408
1180 -0.000346333
1190 -0.000261333
1200 -0.000154
1210 -0.000126
1220 -0.000091
1230 -0.000029
1240 0.000158
1250 0.000302667
1260 0.000422
1270 0.000544
1280 0.000679667
1290 0.000873
1300 0.001100333
1310 0.001444667
1320 0.00183
1330 0.001885667
1340 0.001816333
1350 0.001788
1360 0.001714333
1370 0.001587667
1380 0.001565
1390 0.001533333
1400 0.001515333
1410 0.001463
1420 0.001379667
1430 0.001165333
1440 0.000857
1450 0.000675333
1460 0.000497333
1470 0.000269
1480 0.000183333
1490 0.000165333
1500 0.000192
1510 0.000175
1520 0.000200667
1530 0.00024
1540 0.000225667
1550 0.000192333
1560 0.000161
1570 0.000194
1580 0.000148667
1590 -0.000035
1600 0.000005
1610 0.000137
1620 0.000055
1630 -3.66667E-05
1640 2.26667E-05
1650 0.000012
1660 -0.000079
1670 -0.000099
1680 -0.000167
1690 -0.000207333
1700 -0.000227
1710 -0.000223
1720 -0.000222
1730 -0.000154667
1740 -0.000078
1750 -0.000119
1760 -0.000168
1770 -0.000119
1780 -0.000121667
1790 -0.000143
1800 -0.000054
1810 0.000024
1820 2.33333E-06
1830 -0.000025
1840 3.33333E-07
1850 4.46667E-05
1860 0.000038
1870 -1.36667E-05
1880 -5.63333E-05
1890 -0.000068
1900 -4.86667E-05
1910 -3.63333E-05
1920 -0.000014
1930 5.56667E-05
1940 0.000120333
1950 0.00008
1960 1.23333E-05
1970 2.33333E-06
1980 -0.000009
1990 -5.53333E-05
2000 -0.000139
2010 -0.00018
2020 -0.000151333
2030 -0.000053
2040 0.000006
2050 -0.000068
2060 -0.000011
2070 0.000245
2080 0.000428
2090 0.000492333
2100 0.000877
2110 0.002114
2120 0.004145333
2130 0.006308
2140 0.008737333
2150 0.010928333
2160 0.015221
2170 0.016506667
2180 0.010118
2190 -0.004162
2200 -0.012450667
2210 -0.014274667
2220 -0.015427
2230 -0.013374
2240 -0.009006667
2250 -0.003579
2260 0.005610667
2270 0.009615333
2280 0.010004
2290 0.009913
2300 0.010784667
2310 0.010727
2320 0.010896333
2330 0.009149333
2340 0.008374
2350 0.006517333
2360 0.004706333
2370 0.00303
2380 0.001536333
2390 0.000351
2400 -0.000581
2410 -0.000668333
2420 -0.000734667
2430 -0.000519
2440 -0.000685333
2450 -0.000889
2460 -0.000631
2470 -0.000444
2480 -0.00038
2490 -0.000271
2500 -0.000588333
2510 -0.000776333
2520 -0.000355
2530 -0.000100333
2540 -0.000235667
2550 -0.000323
2560 0.000136
2570 0.000852667
2580 0.001818
2590 0.003843667
2600 0.005362
2610 0.00755
2620 0.009662333
2630 0.010069667
2640 0.018863
2650 0.020548667
2660 0.022625667
2670 0.021145
2680 0.019562
2690 0.017756333
2700 0.016504
2710 0.015486667
2720 0.014131667
2730 0.011333
2740 0.007868667
2750 0.008469
2760 0.008073
2770 0.006241333
2780 0.003563
2790 0.00049
2800 -0.001542333
2810 -0.004198
2820 -0.006062
2830 -0.008759667
2840 -0.012348333
2850 -0.011128
2860 -0.009510667
2870 -0.008321
2880 -0.006072
2890 -0.003494667
2900 -0.002556
2910 -0.001183
2920 -0.000485667
2930 0.000131667
2940 0.000578
2950 0.001170333
2960 0.000837333
2970 0.000659
2980 0.001185667
2990 0.001364
3000 0.001348
3010 0.001305
3020 0.001296333
3030 0.001025
3040 0.000975333
3050 0.001000333
3060 0.000872
3070 0.000852
3080 0.000906333
3090 0.000973
3100 0.000796667
3110 0.000647667
3120 0.000625
3130 0.000425333
3140 0.000233333
3150 0.000096
3160 -1.73333E-05
3170 -0.000185333
3180 -0.00019
3190 -5.63333E-05
3200 -4.43333E-05
3210 0.000024
3220 -0.000146
3230 -0.000319333
3240 -0.000389
3250 -0.000722333
3260 -0.001367667
3270 -0.002464
3280 -0.004044667
3290 -0.005740667
3300 -0.006801
3310 -0.007030667
3320 -0.007430667
3330 -0.007836
3340 -0.008042667
3350 -0.007583667
3360 -0.007634
3370 -0.006841667
3380 -0.005936333
3390 -0.005774
3400 -0.005605667
3410 -0.005634333
3420 -0.005491
3430 -0.005477667
3440 -0.005563667
3450 -0.005694
3460 -0.005568333
3470 -0.005536333
3480 -0.005497
3490 -0.005480667
3500 -0.005576
3510 -0.005383
3520 -0.005383
3530 -0.005246333
3540 -0.005166
3550 -0.004881667
3560 -0.004504667
3570 -0.003667
3580 -0.002981667
3590 -0.002318667
3600 -0.001536
3610 -0.001161333
3620 -0.000904333
3630 -0.000695
3640 -0.000704
3650 -0.000717667
3660 -0.000516
3670 -0.000264333
3680 -0.000217667
3690 -0.000355
3700 -0.000393
3710 -0.000423
3720 -0.000461
3730 -0.000425333
3740 -0.000239333
3750 -0.000073
3760 -6.96667E-05
3770 0.000015
3780 0.000261
3790 0.000564667
3800 0.000743
3810 0.000814
3820 0.001088333
3830 0.001446
3840 0.002031
3850 0.003003667
3860 0.004454
3870 0.006528
3880 0.007872333
3890 0.009518
3900 0.010766
3910 0.011522333
3920 0.013053333
3930 0.013552
3940 0.013813
3950 0.013885333
3960 0.012555
3970 0.013071
3980 0.012528667
3990 0.011411
4000 0.007893
4010 0.001871667
4020 -0.006091
4030 -0.010214333
4040 -0.011719
4050 -0.011786
4060 -0.011460667
4070 -0.011470667
4080 -0.010756
4090 -0.010565
4100 -0.008367333
4110 -0.006189
4120 -0.005072667
4130 -0.002471667
4140 -0.001364
4150 -0.001567667
4160 -0.002122333
4170 -0.002496
4180 -0.001945
4190 -0.001223
4200 -0.000308
4210 0.000477
4220 0.000630667
4230 0.001528
4240 0.002781333
4250 0.003392333
4260 0.004682
4270 0.007125333
4280 0.010582
4290 0.013069
4300 0.015796
4310 0.016065
4320 0.013539
4330 0.013631333
4340 0.011672667
4350 0.010116
4360 0.008764667
4370 0.006085
4380 0.002755
4390 0.001196667
4400 0.000386667
4410 -0.000047
4420 0.000356333
4430 0.001528667
4440 0.004112
4450 0.006018333
4460 0.006968
4470 0.007622
4480 0.007682
4490 0.007854
4500 0.007835
4510 0.006713333
4520 0.006462667
4530 0.006042
4540 0.00545
4550 0.004076
4560 0.003074
4570 0.003070333
4580 0.003237
4590 0.002936
4600 0.00245
4610 0.002323
4620 0.002372
4630 0.00236
4640 0.002281
4650 0.002285
4660 0.002192667
4670 0.002210667
4680 0.002043
4690 0.001917667
4700 0.001819
4710 0.001665
4720 0.001829667
4730 0.001832667
4740 0.001749
4750 0.001643
4760 0.001580333
4770 0.001521
4780 0.001303333
4790 0.001361333
4800 0.00148
4810 0.001660333
4820 0.001864333
4830 0.002016
4840 0.001941667
4850 0.001824333
4860 0.00187
4870 0.001788667
4880 0.001729333
4890 0.001661
4900 0.001551333
4910 0.001335667
4920 0.001102
4930 0.000939333
4940 0.000744
4950 0.00065
4960 0.000567667
4970 0.000396667
4980 0.000207
4990 4.66667E-05
5000 -0.000145333
5010 -0.000277
5020 -0.000442
5030 -0.000677333
5040 -0.000849
5050 -0.001077
5060 -0.001342333
5070 -0.001556
5080 -0.001844333
5090 -0.002048333
5100 -0.002106
5110 -0.002032667
5120 -0.002021
5130 -0.002245
5140 -0.002624
5150 -0.002745667
5160 -0.00289
5170 -0.002954333
5180 -0.002967667
5190 -0.002948
5200 -0.002829667
5210 -0.002800667
5220 -0.00291
5230 -0.002752
5240 -0.002656667
5250 -0.002461
5260 -0.002427667
5270 -0.002415667
5280 -0.002216
5290 -0.001773
5300 -0.001381333
5310 -0.00112
5320 -0.00092
5330 -0.000716
5340 -0.000537
5350 -0.000584333
5360 -0.000802333
5370 -0.000959
5380 -0.001319333
5390 -0.002064
5400 -0.003083
5410 -0.004068
5420 -0.004532667
5430 -0.004454
5440 -0.004671333
5450 -0.004853333
5460 -0.004758
5470 -0.004564667
5480 -0.004783
5490 -0.004748
5500 -0.004566667
5510 -0.004406333
5520 -0.004094
5530 -0.003749
5540 -0.003350333
5550 -0.002997
5560 -0.002488
5570 -0.002137333
5580 -0.001735
5590 -0.001266667
5600 -0.00102
5610 -0.000817
5620 -0.000644667
5630 -0.000488667
5640 -0.000235
5650 -4.73333E-05
5660 0.000158333
5670 0.000307
5680 0.000520333
5690 0.000845333
5700 0.000997
5710 0.001062333
5720 0.001221667
5730 0.001368
5740 0.001652
5750 0.001762667
5760 0.001631
5770 0.001563667
5780 0.001673667
5790 0.001788
5800 0.001747667
5810 0.001633667
5820 0.001504
5830 0.001398333
5840 0.001274667
5850 0.001063
5860 0.000743667
5870 0.000491333
5880 0.000233
5890 4.66667E-05
5900 0.000188667
5910 0.000227
5920 0.00018
5930 0.000007
5940 -0.000029
5950 0.000385667
5960 0.000569333
5970 0.000679
5980 0.002694
5990 0.005252
6000 0.017234
6010 0.031803333
6020 0.035275667
6030 0.020078
6040 -0.024973333
6050 -0.045326333
6060 -0.039965
6070 -0.031691667
6080 -0.017527
6090 -0.010846
6100 -0.004321
6110 -0.001869
6120 -0.000669
6130 0.000133667
6140 0.000476667
6150 0.000523
6160 0.000494
6170 -0.000202667
6180 -0.000084
6190 0.000014
6200 -0.000167
6210 -0.000189
6220 -0.000149
6230 0.000094
6240 -0.000123
6250 -7.46667E-05
6260 -8.43333E-05
6270 -0.00015
6280 -0.00005
6290 0.000221333
6300 0.000249
6310 -0.000025
6320 3.46667E-05
6330 0.000054
6340 0.000029
6350 -1E-06
6360 -0.000031
6370 -0.000061
6380 -0.000091
6390 -0.000121
6400 -0.000151
6410 -0.000181
6420 -0.000211
6430 -0.000241
6440 -0.000271
6450 -0.000301
6460 -0.000331
6470 -0.000361
6480 -0.000391
6490 -0.000421
6500 -0.000451
6510 -0.000481
6520 -0.000511
6530 -0.000541
6540 -0.000571
6550 -0.000601
6560 -0.000631
6570 -0.000661
6580 -0.000691
6590 -0.000721
6600 -0.000751
6610 -0.000781
6620 -0.000811
6630 -0.000841
6640 -0.000871
6650 -0.000901
6660 -0.000931
6670 -0.000961
6680 -0.000991
6690 -0.001021
6700 -0.001051
6710 -0.001081
6720 -0.001111
6730 -0.001141
6740 -0.001171
6750 -0.001201
6760 -0.001231
6770 -0.001261
6780 -0.001291
6790 -0.001321
6800 -0.001351
6810 -0.001381
6820 -0.001411
6830 -0.001441
6840 -0.001471
6850 -0.001501
6860 -0.001531
6870 -0.001561
6880 -0.001591
6890 -0.001621
6900 -0.001651
6910 -0.001681
6920 -0.001711
6930 -0.001741
6940 -0.001771
6950 -0.001801
SetupFile.json includes parameters:
{
"setupName" : "Gp2Dummy",
"mcar" : 728,
"clt" : 3.1,
"cx" : 1.0,
"afrcar" : 1.0,
"mbrk" : 7000,
"gripx" : 1.15,
"gripy" : 1.40,
"loadEff" : 0.10,
"rtyre" : 0.32,
"rGearRat" : [10.0,7.8,6.1,7.8,5.2,4.5,4.0],
"reff" : 0.95,
"EngNm" : [200,300,430,380],
"EngRpm" : [0,3000,7000,10000],
"rho" : 1.22
}
Based on the information above, complete the following tasks:
#Task 1
Compute the maximum possible speed the car achieves anywhere on the lap.
#Task 2
The minimum possible lap time the car can achieve over a single lap.
Please use consistent SI units and convert top speed in km/hr and lap time into seconds(s). | Rushabh Prasad Shetty |
AB_02 | 691 | Signal Processing | ## Task Description
You are an expert Python programmer specializing in Computer Vision using the OpenCV library. Your task is to implement the **Watershed algorithm** (`cv2.watershed`) for image segmentation specifically for the image located at 'images/8_of_hearts.png'.
The goal is to segment the foreground objects (the red heart pips and '8' numerals) from the white background in this specific image.
**Constraint: You MUST use the OpenCV Watershed algorithm (`cv2.watershed`) for the core segmentation step. Using any other segmentation method (e.g., color thresholding alone, contour finding alone, GrabCut, etc.) will result in a failed evaluation.**
## Implementation Steps
The implementation should follow this specific logic:
1. Loading the image directly from the path 'images/8_of_hearts.png' and converting it to grayscale.
2. Thresholding the grayscale image (using THRESH_BINARY_INV | THRESH_OTSU).
3. Morphological opening to remove noise (kernel = np.ones((3,3), np.uint8), iterations = 2).
4. Finding sure background via dilation (kernel = np.ones((3,3), np.uint8), iterations=3).
5. Finding sure foreground via distance transform (cv2.DIST_L2, mask size 5) and thresholding. Use a threshold based on the distance transform's maximum value (e.g., 0.7 * dist_transform.max()) to determine the sure foreground region.
6. Identifying the unknown region by subtracting sure foreground from sure background.
7. Labeling components using `cv2.connectedComponents` on the sure foreground.
8. Preparing markers for watershed (incrementing labels, setting unknown region to 0).
9. Applying `cv2.watershed` using the original color image loaded from 'images/8_of_hearts.png'. **This step is mandatory.**
10. Generating a final binary mask from the watershed markers.
## Input Specification
The solution code's main function should *not* take an image path as an argument. It must internally use the hardcoded path 'images/8_of_hearts.png' for all image loading and processing operations.
## Output Specification
Your response must be structured according to the CodeSolutionOutput Pydantic model, containing the following fields:
1. reasoning: Provide a clear, step-by-step explanation of how the code implements the Watershed segmentation process for the specified image ('images/8_of_hearts.png') according to the requirements. Explain each major step.
2. solution_code: Provide the complete Python code.
* The code must include necessary imports (numpy, cv2).
* The core logic should be encapsulated within a function named `segment_image` that takes **no arguments**.
* This function must load the image using the hardcoded path `'images/8_of_hearts.png'`.
* This function must **return** the final binary segmentation mask as a NumPy array (dtype=uint8), where foreground pixels (segmented objects) are 255 and background/boundaries are 0.
* The code should **not** include any code for displaying images or saving files directly. It should only perform the calculation and return the mask array.
* Include basic error handling for image loading (return None if the image cannot be loaded from the hardcoded path). | Ayush Barik |
XY_05 | 975 | Digital Hardware Design | # SLC-3 CPU Design
This assessment evaluate the SLC-3 CPU's control logic and state machine implementation.
## PART 1: Control Signal Settings
For each instruction, determine the precise configuration of all control signals during the execution phase.
The response MUST be structured as follows:
"ports_table": {
"ADD": {
"ld_reg": "",
"ld_ir": "",
"ld_pc": "",
"ld_cc": "",
"gateALU": "",
"gateMDR": "",
"mem_en": "",
"mem_we": ""
},
"AND": {
// Control signals for AND
},
// Continue for each instruction...
}
## PART 2: State Machine Implementation
The SLC-3 CPU uses the following key states in its finite state machine:
- s_18: Common fetch cycle state
- s_32: Decode state
- s_1: ADD execution state
- s_5: AND execution state
- s_9: NOT execution state
- s_0: BR evaluation state
- s_22: BR taken state
- s_12: JMP execution state
- s_4: JSR execution state
- s_21: JSR linkage state
- s_6: LDR address calculation state
- s_25_1, s_25_2, s_25_3: LDR memory access sequence states
- s_27: LDR data ready state
- s_7: STR address calculation state
- s_23: STR memory prepare state
- s_16_1, s_16_2, s_16_3: STR memory write sequence states
Your state_transitions MUST use this exact format:
"state_transitions": {
"ADD": {
"current": "s_1",
"next": ""
},
"AND": {
"current": "s_5",
"next": ""
},
// For BR, use this special format
"BR": {
"current": "s_0",
"next_taken": "",
"next_not_taken": "",
"sequence_taken": ","
},
// Multi-cycle example (use comma-separated string)
"LDR": {
"current": "s_6",
"next": "",
"sequence": ",,,,"
}
}
IMPORTANT: For multi-cycle instructions and branch paths, use comma-separated strings (NOT arrays or nested objects).
## PART 3: Explanations
You must also provide a detailed explanation for each instruction:
"explanation": {
"ADD": "Explanation for ADD instruction...",
"AND": "Explanation for AND instruction...",
// Continue for each instruction...
}
## Instructions to Analyze:
1. ADD - Arithmetic addition
2. AND - Bitwise AND
3. NOT - Bitwise complement
4. LDR - Load from memory to register
5. STR - Store register to memory
6. BR - Conditional branch
7. JMP - Unconditional jump
8. JSR - Jump to subroutine
9. SWAP - Exchange registers (custom instruction)
## Critical Design Constraints:
Hardware safety: No multiple components may drive the bus simultaneously
Control accuracy: PC updates occur only during branch and jump operations
State consistency: All execution paths must eventually return to s_18 (fetch cycle)
Cycle requirements: Memory operations must follow proper sequence states
Instruction integrity: JSR must save return address to R7 before jumping
Power efficiency: Minimize active control signals whenever possible
## REQUIRED OUTPUT FORMAT:
Your complete response MUST follow this exact structure:
{
"config": {
"ports_table": {
"ADD": { "ld_reg": "1", "ld_ir": "0", ... },
"AND": { ... },
// All instructions
},
"explanation": {
"ADD": "Explanation text...",
"AND": "Explanation text...",
// All instructions
},
"state_transitions": {
"ADD": { "current": "s_1", "next": "" },
"AND": { "current": "s_5", "next": "" },
"BR": { "current": "s_0", "next_taken": "s_22", "next_not_taken": "", "sequence_taken": "," },
"LDR": { "current": "s_6", "next": "", "sequence": "" },
// All instructions
}
}
}
Ensure you follow this structure exactly. Use strings for all values, and comma-separated strings (not arrays) for sequences. Any validation errors will result in automatic failure. | XiangYi Kong
|
libin2_02 | 310 | Operating System Design | ### Task Description
In this task, you need to choose an appropriate Round-Robin time quantum (time_quantum) for a set of threads in order to minimize the **combined cost**.
We will calculate three cost components:
1. Average waiting time(avg_waiting_time), in milliseconds (ms). Smaller is better.
2. Number of context switches(context_switches). Each switch counts as 1 ms of overhead. Fewer is better.
3. Time quantum cost(quantum_cost), reflecting the negative impact of too long a time quantum, defined as: quantum_cost = time_quantum * 0.5
The combined cost(combined_cost) is the sum of these three components.
### Input
The thread list is given as tuples (arrival_time, burst_time), both in ms:
case1 = [(0,5),(2,3),(4,8),(6,6),(8,4)]
case2 = [(0,10),(1,2),(3,7),(5,5)]
case3 = [(0,2),(2,2),(4,2),(6,2),(8,2),(10,2)]
### Requirements
Choose a single time quantum such that the combined cost for each case does not exceed:
- case1: 17 ms
- case2: 17 ms
- case3: 8 ms
Return the chosen time_quantum and, for each case, the corresponding avg_waiting_time, context_switches, and quantum_cost. | Libin Wang |
NS_PA_SS_08 | 357 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable SystemVerilog for a target‐sequence detector that pulses a 1 on `seen` whenever a specified 5-bit pattern appears in a serial bitstream, according to the following spec:
1. Parameterization
- parameter TARGET_WIDTH = 5;
2. Ports
```verilog
module model #(
parameter TARGET_WIDTH = 5
) (
input logic clk, // clock signal
input logic resetn, // synchronous, active-low reset
input logic [TARGET_WIDTH-1:0] init,// target sequence, loaded on reset
input logic din, // serial input bit
output logic seen // pulses high for one cycle on match
);
Behavior
Target loading: On each rising edge when resetn==0, latch init into an internal target register.
Shift register: Maintain a TARGET_WIDTH-bit shift register of the last received bits. On each rising edge when resetn==1, shift in din.
Detection: Compare the internal shift register to target. If they match, assert seen=1 for one clock cycle; otherwise seen=0.
Sequence reset: When resetn==0, clear the shift register (so previous bits are forgotten) and drive seen=0.
Padding: Treat shorter init values as zero-padded on the high side (e.g. 3'b11 → 5'b00011).
Implementation notes
Fully synchronous logic.
Use a generate or loop to build the comparator if desired.
seen should be a one-cycle pulse on each detection, overlapping matches allowed (i.e. detect overlapping patterns).
Produce clean, commented, synthesizable SystemVerilog that meets this specification.`` | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
JY_02 | 151 | Signal Processing | You are working with a image and want to develop a real kernel that detects the edges of the image. Create the kernel and return the convoluted edge output as an array
You should follow the following
1, Apply a gaussian blur to smooth the image.
2, Design a filtering mechanizim to filter only the significant edges with one x direction kernel and y direction kernel of the same size and shape.
3, Design a threshold of max and min value to filter out noisy edges.
based on the data of the input image array, you should choose the optimal size of the gaussian and edge filtering kernels and the values in them.
In the end, we will compare your response with the baseline response using edge density, connectivity and entropy.
Input image:
[
[76, 77, 74, 75, 75, 73, 71, 71, 72, 74, 70, 69, 67, 66, 66, 68, 70, 71, 68, 65, 61, 57, 57, 59, 63, 66, 75, 75, 74, 71, 66, 63, 62, 62, 58, 61, 65, 69, 71, 71, 70, 69, 68, 65, 63, 65, 68, 70, 66, 63, 60, 60, 61, 63, 66, 67, 66, 64, 63, 63, 64, 66, 67, 69, 70, 71, 69, 68, 66, 65, 64, 66, 67, 69, 63, 63, 64, 65, 67, 71, 74, 76, 72, 69, 67, 68, 72, 75, 76, 76, 74, 73, 71, 70, 69, 69, 70, 71, 64, 66]
[75, 77, 74, 74, 74, 71, 68, 67, 67, 69, 68, 67, 66, 65, 67, 69, 72, 74, 74, 71, 67, 64, 65, 70, 76, 80, 79, 79, 79, 76, 72, 69, 69, 69, 66, 70, 77, 81, 82, 78, 73, 69, 70, 66, 64, 66, 70, 72, 68, 63, 64, 65, 66, 70, 73, 74, 73, 72, 70, 71, 72, 73, 73, 72, 71, 70, 73, 72, 69, 68, 68, 69, 71, 72, 67, 67, 67, 68, 70, 72, 75, 77, 74, 70, 68, 69, 73, 77, 78, 78, 76, 75, 73, 71, 70, 70, 71, 72, 69, 70]
[75, 75, 76, 75, 75, 74, 75, 76, 77, 77, 79, 77, 75, 73, 73, 75, 77, 78, 76, 77, 77, 77, 76, 75, 73, 72, 74, 76, 78, 80, 82, 81, 80, 80, 73, 74, 75, 76, 76, 76, 76, 75, 66, 68, 69, 71, 71, 71, 69, 69, 72, 72, 71, 71, 71, 72, 73, 74, 74, 74, 74, 73, 73, 73, 73, 72, 68, 69, 70, 71, 72, 72, 72, 72, 70, 71, 73, 74, 75, 75, 74, 73, 70, 69, 68, 71, 76, 80, 79, 78, 77, 78, 78, 77, 77, 77, 80, 82, 80, 79]
[78, 78, 80, 79, 78, 77, 76, 76, 75, 75, 75, 74, 74, 73, 73, 74, 75, 76, 80, 79, 79, 78, 78, 78, 78, 78, 77, 77, 76, 76, 77, 77, 78, 78, 82, 81, 80, 78, 76, 75, 74, 73, 72, 73, 74, 75, 75, 75, 75, 74, 75, 74, 74, 73, 73, 74, 75, 75, 73, 73, 72, 72, 72, 72, 72, 72, 72, 73, 74, 75, 76, 77, 77, 77, 76, 77, 79, 80, 80, 80, 79, 78, 75, 74, 74, 76, 81, 83, 83, 81, 80, 83, 87, 87, 85, 84, 85, 87, 87, 86]
[81, 81, 83, 83, 83, 82, 81, 79, 78, 77, 71, 72, 73, 74, 74, 75, 75, 74, 78, 78, 77, 77, 78, 78, 79, 80, 83, 81, 79, 77, 76, 77, 79, 80, 83, 81, 79, 76, 73, 72, 72, 72, 75, 75, 75, 75, 76, 76, 77, 77, 77, 76, 75, 75, 75, 75, 76, 76, 76, 76, 76, 77, 77, 77, 77, 77, 75, 75, 75, 76, 77, 79, 81, 82, 81, 82, 84, 86, 88, 89, 89, 89, 85, 84, 84, 86, 89, 91, 91, 90, 89, 95, 101, 102, 98, 94, 95, 97, 93, 93]
[86, 87, 88, 89, 89, 90, 89, 88, 86, 85, 79, 80, 83, 85, 85, 85, 83, 82, 81, 82, 83, 85, 86, 86, 86, 85, 88, 87, 86, 85, 85, 86, 87, 88, 84, 82, 80, 78, 77, 78, 80, 81, 79, 79, 79, 79, 80, 80, 81, 81, 86, 85, 84, 83, 82, 82, 82, 83, 84, 84, 85, 85, 86, 87, 87, 87, 83, 83, 83, 84, 85, 88, 90, 91, 91, 93, 95, 98, 101, 104, 106, 107, 103, 103, 103, 104, 106, 107, 107, 107, 104, 110, 115, 113, 107, 103, 105, 108, 101, 102]
[100, 100, 101, 101, 101, 100, 99, 97, 96, 95, 94, 96, 98, 100, 101, 100, 98, 96, 92, 95, 99, 102, 103, 102, 100, 98, 92, 94, 95, 97, 98, 98, 97, 97, 93, 92, 91, 90, 91, 93, 96, 97, 92, 93, 93, 94, 94, 94, 95, 95, 101, 100, 99, 97, 96, 96, 96, 96, 93, 93, 94, 95, 96, 97, 98, 98, 100, 101, 101, 102, 103, 105, 106, 107, 109, 110, 111, 113, 115, 117, 119, 120, 119, 120, 121, 122, 123, 123, 124, 125, 120, 123, 124, 121, 115, 112, 114, 118, 113, 113]
[116, 117, 118, 117, 114, 112, 109, 108, 107, 107, 105, 106, 108, 110, 110, 108, 106, 105, 103, 106, 110, 113, 114, 113, 110, 108, 100, 102, 105, 108, 109, 109, 108, 107, 106, 106, 105, 106, 108, 110, 113, 114, 112, 113, 115, 117, 117, 116, 115, 114, 117, 116, 114, 113, 111, 110, 110, 110, 107, 107, 108, 110, 111, 113, 114, 114, 119, 120, 121, 122, 123, 123, 123, 123, 125, 124, 123, 123, 122, 123, 124, 124, 127, 129, 131, 132, 132, 132, 135, 136, 138, 138, 136, 133, 131, 130, 131, 133, 126, 125]
[124, 126, 126, 125, 122, 120, 120, 121, 123, 125, 120, 121, 121, 122, 121, 119, 117, 116, 115, 116, 117, 118, 118, 118, 118, 118, 116, 116, 117, 118, 118, 119, 119, 119, 119, 121, 124, 128, 132, 136, 139, 140, 142, 144, 148, 150, 151, 149, 146, 144, 142, 141, 139, 137, 135, 134, 133, 133, 131, 132, 133, 135, 137, 138, 139, 140, 142, 144, 146, 148, 148, 146, 144, 143, 140, 139, 138, 137, 137, 138, 139, 140, 142, 145, 148, 149, 148, 149, 152, 155, 157, 155, 154, 156, 158, 159, 158, 156, 153, 149]
[125, 126, 126, 125, 124, 124, 127, 132, 138, 141, 139, 139, 139, 138, 137, 135, 134, 133, 128, 126, 124, 122, 123, 125, 128, 130, 130, 128, 126, 125, 125, 125, 127, 128, 133, 136, 142, 150, 157, 163, 168, 170, 172, 175, 179, 182, 183, 180, 176, 174, 170, 168, 166, 164, 162, 161, 160, 160, 153, 154, 156, 157, 159, 161, 163, 163, 166, 168, 171, 173, 173, 170, 166, 164, 155, 155, 155, 156, 158, 161, 164, 165, 164, 168, 171, 172, 172, 173, 176, 180, 171, 170, 170, 176, 182, 184, 180, 175, 183, 177]
[127, 128, 132, 126, 122, 124, 133, 141, 146, 147, 147, 151, 156, 161, 161, 158, 154, 150, 147, 144, 140, 136, 134, 133, 134, 135, 135, 139, 142, 139, 133, 131, 135, 140, 153, 159, 169, 178, 183, 183, 181, 179, 180, 182, 185, 189, 191, 191, 187, 183, 181, 179, 178, 178, 180, 182, 182, 181, 175, 174, 173, 172, 172, 173, 174, 175, 182, 179, 177, 178, 181, 182, 180, 177, 171, 172, 174, 175, 177, 178, 178, 178, 181, 182, 185, 187, 188, 187, 185, 184, 180, 178, 179, 185, 192, 195, 192, 188, 191, 188]
[148, 148, 151, 147, 144, 146, 151, 155, 154, 152, 159, 160, 163, 165, 167, 167, 167, 167, 166, 165, 163, 161, 159, 157, 156, 156, 147, 152, 159, 164, 166, 165, 163, 162, 164, 168, 172, 175, 175, 172, 167, 164, 172, 174, 178, 183, 187, 188, 186, 183, 182, 182, 182, 183, 184, 184, 182, 180, 177, 178, 179, 180, 180, 180, 179, 179, 175, 173, 172, 175, 179, 182, 181, 179, 177, 177, 178, 178, 178, 178, 177, 176, 179, 181, 183, 185, 185, 184, 183, 182, 174, 171, 171, 175, 183, 188, 187, 185, 188, 186]
[159, 157, 166, 165, 165, 167, 171, 170, 166, 162, 169, 168, 166, 165, 165, 168, 171, 173, 174, 175, 175, 175, 173, 171, 169, 167, 156, 158, 162, 170, 176, 179, 176, 173, 174, 174, 173, 171, 166, 161, 155, 152, 165, 167, 171, 177, 182, 184, 183, 181, 178, 179, 181, 184, 184, 182, 176, 172, 172, 174, 177, 179, 181, 180, 179, 178, 169, 168, 168, 172, 179, 183, 183, 182, 181, 181, 181, 180, 178, 176, 174, 173, 177, 179, 180, 181, 182, 181, 180, 179, 171, 168, 166, 168, 173, 177, 178, 177, 177, 177]
[160, 158, 169, 170, 171, 175, 178, 178, 175, 172, 177, 174, 170, 166, 165, 166, 169, 170, 176, 176, 176, 175, 175, 174, 173, 172, 170, 166, 163, 161, 164, 169, 175, 178, 181, 179, 177, 173, 169, 165, 162, 160, 166, 167, 169, 174, 177, 178, 176, 174, 173, 176, 180, 184, 184, 179, 172, 166, 163, 165, 167, 170, 173, 175, 176, 176, 167, 166, 167, 171, 178, 183, 183, 182, 178, 178, 177, 176, 174, 172, 170, 169, 174, 175, 176, 176, 176, 175, 174, 173, 172, 170, 168, 168, 170, 170, 168, 166, 163, 163]
[167, 166, 170, 170, 171, 173, 175, 176, 176, 175, 179, 178, 176, 175, 174, 173, 174, 174, 178, 176, 174, 172, 172, 173, 174, 175, 180, 178, 173, 166, 162, 166, 176, 185, 186, 184, 180, 177, 175, 174, 174, 174, 169, 168, 169, 170, 171, 170, 167, 164, 170, 173, 178, 183, 184, 179, 171, 165, 156, 156, 157, 159, 162, 167, 172, 175, 166, 165, 164, 168, 174, 178, 178, 176, 170, 170, 171, 170, 170, 169, 168, 167, 169, 169, 169, 169, 168, 167, 166, 166, 167, 168, 169, 171, 171, 168, 164, 161, 155, 156]
[173, 174, 174, 173, 172, 171, 170, 170, 170, 170, 169, 171, 174, 176, 177, 178, 177, 176, 169, 167, 164, 161, 160, 162, 164, 166, 168, 172, 174, 171, 166, 166, 173, 180, 180, 178, 175, 172, 170, 171, 172, 173, 170, 169, 168, 167, 167, 165, 161, 158, 163, 165, 170, 175, 177, 174, 168, 163, 152, 151, 150, 150, 153, 158, 163, 167, 165, 163, 162, 165, 170, 172, 171, 169, 166, 166, 168, 169, 169, 169, 169, 169, 167, 167, 166, 165, 164, 163, 162, 162, 161, 164, 169, 172, 173, 171, 167, 165, 161, 160]
[169, 171, 174, 174, 173, 171, 168, 165, 164, 164, 160, 162, 167, 171, 173, 174, 174, 173, 163, 162, 160, 158, 157, 157, 158, 158, 156, 161, 165, 167, 167, 167, 168, 170, 173, 172, 169, 168, 167, 168, 170, 171, 171, 170, 169, 169, 170, 169, 166, 164, 161, 162, 166, 171, 174, 174, 171, 168, 161, 159, 158, 157, 157, 159, 162, 164, 167, 164, 163, 165, 170, 172, 171, 169, 169, 170, 171, 173, 174, 174, 175, 175, 173, 172, 171, 169, 168, 167, 166, 166, 164, 168, 172, 175, 176, 175, 174, 173, 173, 171]
[164, 166, 169, 170, 171, 171, 168, 164, 162, 161, 161, 163, 166, 169, 171, 171, 171, 170, 171, 172, 172, 171, 170, 168, 166, 165, 164, 163, 163, 166, 171, 174, 174, 173, 175, 174, 173, 173, 174, 176, 179, 180, 172, 172, 172, 173, 175, 176, 175, 173, 168, 169, 172, 176, 181, 183, 182, 180, 177, 177, 175, 174, 173, 172, 171, 170, 170, 167, 166, 169, 173, 176, 175, 173, 174, 175, 176, 178, 179, 179, 179, 179, 181, 180, 178, 177, 175, 174, 173, 173, 174, 176, 178, 179, 179, 178, 178, 179, 182, 178]
[164, 170, 169, 170, 172, 175, 177, 177, 175, 173, 174, 174, 173, 174, 176, 179, 181, 183, 176, 178, 180, 181, 179, 176, 172, 170, 166, 167, 170, 172, 175, 176, 177, 178, 177, 176, 176, 177, 179, 179, 178, 176, 181, 181, 182, 184, 186, 186, 184, 182, 180, 181, 183, 184, 184, 183, 181, 180, 180, 181, 182, 181, 179, 178, 178, 179, 174, 173, 173, 176, 178, 177, 172, 167, 167, 170, 175, 180, 183, 184, 184, 183, 183, 185, 186, 186, 183, 180, 178, 178, 176, 178, 180, 180, 178, 177, 177, 178, 178, 178]
[173, 176, 178, 179, 181, 183, 184, 184, 183, 181, 177, 177, 176, 177, 178, 180, 182, 183, 182, 183, 185, 185, 184, 182, 179, 177, 173, 174, 174, 175, 176, 176, 176, 176, 174, 174, 175, 178, 181, 183, 182, 181, 182, 182, 182, 184, 186, 186, 184, 181, 182, 183, 184, 185, 185, 184, 182, 181, 184, 184, 183, 181, 180, 180, 182, 185, 182, 180, 179, 180, 181, 179, 175, 171, 172, 173, 176, 178, 179, 179, 178, 177, 183, 185, 187, 188, 186, 185, 185, 186, 179, 181, 182, 181, 180, 179, 180, 181, 184, 184]
[182, 182, 179, 181, 184, 187, 188, 189, 189, 189, 183, 183, 182, 182, 183, 183, 184, 185, 188, 189, 189, 189, 189, 188, 186, 186, 184, 183, 182, 181, 179, 178, 177, 176, 173, 173, 175, 178, 182, 185, 185, 184, 183, 183, 183, 185, 187, 187, 184, 182, 184, 185, 186, 186, 186, 184, 182, 181, 185, 184, 183, 180, 179, 181, 185, 188, 188, 186, 183, 182, 181, 180, 177, 175, 176, 176, 175, 174, 173, 172, 170, 170, 173, 175, 178, 180, 180, 181, 182, 184, 181, 182, 182, 181, 180, 180, 181, 183, 185, 184]
[184, 182, 176, 178, 182, 184, 186, 187, 188, 189, 189, 188, 188, 187, 187, 187, 187, 187, 190, 190, 190, 190, 190, 190, 190, 190, 191, 190, 188, 186, 183, 181, 180, 180, 176, 175, 176, 178, 181, 182, 181, 180, 180, 180, 181, 183, 186, 187, 185, 183, 184, 185, 185, 186, 185, 183, 181, 180, 180, 181, 181, 180, 179, 180, 183, 186, 187, 185, 182, 180, 179, 178, 178, 178, 179, 177, 175, 172, 170, 168, 168, 168, 165, 167, 170, 171, 172, 173, 175, 177, 180, 181, 181, 180, 179, 179, 181, 184, 184, 183]
[181, 181, 184, 187, 188, 187, 185, 183, 184, 186, 189, 189, 188, 188, 187, 186, 185, 185, 187, 187, 187, 188, 189, 190, 190, 191, 191, 190, 188, 185, 184, 182, 182, 182, 182, 181, 179, 179, 179, 178, 176, 173, 174, 174, 176, 179, 182, 183, 181, 180, 182, 183, 184, 184, 184, 182, 181, 179, 178, 180, 183, 184, 184, 183, 183, 183, 185, 184, 182, 180, 178, 179, 180, 182, 183, 181, 178, 176, 174, 174, 174, 175, 171, 172, 173, 173, 172, 172, 174, 176, 181, 182, 183, 183, 182, 182, 184, 186, 186, 187]
[183, 186, 204, 205, 204, 198, 190, 184, 182, 183, 187, 187, 187, 187, 186, 185, 183, 182, 185, 186, 187, 189, 191, 191, 192, 192, 189, 188, 186, 183, 182, 182, 183, 183, 189, 187, 184, 183, 182, 180, 176, 173, 173, 173, 174, 176, 178, 179, 177, 175, 180, 181, 182, 183, 183, 183, 181, 180, 180, 184, 188, 189, 188, 186, 184, 184, 185, 185, 185, 183, 181, 181, 183, 186, 186, 185, 184, 183, 182, 183, 184, 185, 178, 178, 178, 177, 174, 174, 175, 177, 184, 186, 189, 190, 189, 188, 189, 190, 185, 186]
[193, 196, 216, 216, 213, 205, 194, 186, 184, 185, 189, 189, 190, 190, 189, 188, 186, 185, 186, 188, 191, 194, 196, 197, 196, 195, 193, 191, 189, 186, 185, 186, 187, 187, 193, 191, 190, 189, 189, 187, 184, 182, 183, 182, 181, 182, 182, 180, 177, 174, 179, 180, 182, 184, 185, 184, 184, 183, 184, 186, 188, 189, 187, 185, 184, 185, 187, 188, 188, 186, 183, 181, 183, 185, 186, 186, 186, 186, 186, 187, 188, 188, 182, 183, 182, 179, 177, 176, 177, 179, 185, 188, 192, 194, 193, 192, 191, 191, 186, 187]
[203, 206, 215, 216, 213, 205, 194, 187, 186, 188, 193, 194, 195, 195, 195, 193, 192, 190, 188, 191, 196, 199, 202, 202, 200, 199, 199, 197, 194, 192, 190, 190, 191, 192, 195, 194, 193, 194, 195, 195, 193, 191, 195, 193, 191, 190, 188, 185, 180, 177, 179, 181, 183, 185, 186, 186, 186, 185, 185, 186, 186, 185, 182, 181, 183, 184, 187, 189, 189, 186, 182, 179, 179, 181, 183, 183, 184, 184, 185, 185, 186, 186, 188, 188, 187, 184, 182, 181, 183, 185, 182, 187, 192, 195, 194, 192, 190, 190, 192, 192]
[205, 203, 202, 201, 199, 195, 192, 190, 191, 193, 198, 198, 198, 197, 195, 192, 189, 187, 189, 190, 192, 192, 192, 193, 196, 198, 203, 203, 203, 201, 198, 194, 191, 188, 190, 187, 184, 184, 187, 191, 194, 195, 195, 193, 190, 190, 191, 189, 184, 180, 179, 180, 183, 184, 185, 185, 183, 183, 180, 181, 182, 183, 183, 182, 180, 179, 181, 182, 182, 181, 178, 177, 178, 180, 181, 180, 180, 179, 180, 181, 183, 184, 184, 185, 188, 188, 187, 184, 180, 177, 175, 178, 182, 187, 189, 189, 189, 188, 193, 194]
[201, 201, 199, 200, 199, 198, 196, 193, 192, 192, 193, 193, 193, 193, 193, 191, 190, 189, 189, 190, 192, 192, 191, 192, 194, 196, 202, 202, 200, 199, 198, 197, 197, 196, 193, 190, 187, 186, 188, 190, 190, 190, 193, 192, 190, 191, 192, 191, 188, 184, 184, 184, 184, 184, 184, 184, 184, 184, 184, 184, 184, 184, 183, 181, 180, 179, 177, 178, 178, 177, 176, 177, 180, 182, 181, 182, 182, 182, 182, 181, 180, 179, 179, 179, 181, 181, 182, 182, 182, 181, 177, 178, 180, 181, 181, 180, 178, 177, 185, 187]
[195, 197, 195, 196, 198, 198, 198, 195, 193, 191, 188, 188, 189, 189, 189, 190, 190, 190, 186, 188, 189, 189, 188, 188, 190, 192, 196, 195, 193, 193, 193, 195, 198, 200, 195, 192, 190, 189, 189, 189, 188, 187, 189, 188, 188, 189, 190, 190, 188, 186, 184, 183, 181, 180, 179, 179, 179, 179, 182, 182, 182, 181, 181, 180, 180, 180, 178, 178, 178, 176, 175, 176, 178, 181, 178, 179, 182, 184, 184, 183, 181, 180, 181, 180, 179, 179, 179, 180, 182, 183, 182, 182, 182, 181, 180, 179, 178, 178, 179, 180]
[189, 191, 191, 191, 191, 192, 193, 193, 191, 189, 190, 189, 188, 188, 187, 187, 187, 187, 182, 184, 185, 185, 183, 182, 183, 184, 184, 184, 184, 185, 186, 188, 190, 192, 191, 189, 188, 189, 190, 190, 189, 187, 186, 185, 185, 185, 185, 184, 183, 182, 182, 182, 182, 182, 181, 181, 180, 179, 177, 177, 177, 178, 178, 179, 179, 180, 181, 183, 183, 182, 179, 176, 176, 176, 174, 176, 179, 181, 184, 186, 187, 187, 190, 188, 186, 184, 182, 181, 181, 181, 182, 181, 181, 181, 182, 183, 185, 186, 179, 179]
[185, 186, 188, 186, 183, 184, 186, 188, 188, 187, 194, 193, 191, 189, 187, 185, 183, 182, 179, 181, 182, 182, 179, 177, 177, 177, 175, 177, 179, 181, 182, 183, 183, 182, 185, 184, 184, 186, 189, 191, 191, 190, 186, 186, 185, 184, 182, 181, 181, 181, 183, 185, 188, 190, 190, 189, 187, 186, 180, 180, 180, 180, 179, 178, 177, 177, 181, 184, 188, 188, 186, 181, 178, 176, 179, 178, 178, 179, 181, 184, 188, 191, 191, 191, 190, 188, 186, 183, 181, 179, 177, 176, 176, 176, 178, 180, 183, 185, 182, 182]
[185, 184, 187, 183, 179, 179, 183, 186, 186, 185, 192, 192, 192, 191, 189, 185, 182, 180, 180, 182, 183, 183, 180, 177, 175, 175, 174, 176, 179, 182, 183, 183, 182, 181, 182, 181, 180, 181, 185, 187, 188, 188, 188, 188, 188, 185, 183, 181, 182, 183, 182, 184, 186, 188, 189, 188, 187, 186, 188, 188, 188, 187, 184, 180, 177, 175, 175, 180, 186, 189, 188, 185, 183, 182, 187, 185, 181, 178, 178, 181, 184, 186, 184, 185, 186, 187, 187, 186, 185, 184, 182, 181, 180, 179, 179, 180, 182, 183, 184, 184]
[186, 186, 187, 184, 181, 182, 186, 188, 186, 183, 184, 186, 189, 191, 191, 188, 185, 182, 185, 187, 189, 188, 184, 181, 179, 178, 176, 177, 179, 181, 184, 186, 187, 188, 185, 182, 179, 178, 179, 180, 181, 181, 186, 187, 187, 185, 182, 182, 184, 187, 182, 181, 180, 179, 179, 181, 182, 183, 188, 189, 191, 191, 188, 184, 180, 177, 174, 177, 181, 183, 183, 182, 183, 184, 189, 187, 184, 181, 180, 180, 181, 182, 180, 181, 182, 183, 185, 187, 190, 191, 190, 189, 188, 187, 187, 187, 187, 187, 185, 185]
[186, 188, 188, 185, 184, 187, 191, 192, 187, 182, 176, 180, 185, 190, 192, 191, 188, 186, 190, 192, 194, 193, 189, 185, 183, 182, 177, 177, 178, 179, 182, 187, 191, 193, 190, 185, 180, 176, 175, 175, 174, 174, 181, 183, 184, 182, 180, 181, 184, 188, 188, 185, 180, 176, 175, 178, 182, 185, 180, 183, 187, 190, 190, 187, 183, 181, 177, 178, 178, 177, 176, 177, 179, 182, 185, 185, 184, 183, 182, 182, 182, 182, 184, 183, 181, 181, 184, 188, 193, 196, 191, 191, 191, 191, 191, 190, 190, 190, 186, 186]
[185, 185, 190, 190, 192, 194, 194, 191, 185, 181, 181, 181, 180, 180, 181, 183, 185, 186, 186, 192, 197, 197, 192, 187, 186, 187, 185, 183, 181, 180, 181, 184, 187, 190, 184, 185, 187, 188, 187, 184, 180, 178, 180, 180, 179, 177, 177, 181, 188, 194, 192, 189, 186, 185, 186, 185, 184, 182, 182, 183, 185, 186, 187, 187, 187, 186, 186, 186, 186, 186, 184, 182, 179, 178, 186, 183, 179, 176, 177, 180, 184, 187, 190, 190, 189, 188, 187, 186, 185, 185, 191, 190, 188, 188, 188, 191, 193, 195, 188, 187]
[185, 185, 196, 196, 195, 192, 190, 187, 186, 185, 186, 186, 186, 185, 183, 181, 179, 177, 184, 188, 191, 190, 186, 185, 187, 191, 186, 186, 185, 184, 183, 181, 180, 180, 183, 184, 186, 187, 188, 187, 185, 184, 178, 178, 178, 176, 174, 177, 182, 187, 189, 187, 185, 186, 188, 190, 191, 191, 186, 186, 185, 184, 183, 182, 182, 182, 187, 188, 189, 190, 190, 190, 189, 188, 189, 186, 183, 180, 181, 183, 187, 190, 194, 193, 192, 190, 189, 188, 188, 188, 186, 184, 182, 181, 182, 184, 187, 189, 187, 187]
[187, 186, 191, 192, 192, 189, 186, 186, 189, 192, 191, 192, 193, 192, 190, 185, 181, 178, 183, 184, 185, 182, 180, 182, 187, 193, 190, 191, 192, 191, 188, 184, 179, 177, 182, 182, 183, 184, 185, 186, 187, 187, 178, 179, 178, 177, 176, 177, 179, 182, 184, 182, 181, 183, 187, 192, 195, 196, 193, 192, 189, 187, 185, 183, 183, 183, 188, 189, 190, 192, 194, 195, 197, 197, 199, 196, 193, 189, 188, 189, 191, 192, 195, 194, 193, 192, 191, 192, 194, 195, 189, 186, 182, 178, 177, 179, 181, 183, 183, 185]
[188, 187, 191, 196, 200, 198, 191, 187, 188, 190, 191, 192, 193, 194, 194, 192, 189, 188, 187, 187, 185, 182, 180, 181, 184, 188, 191, 192, 194, 194, 193, 191, 188, 186, 184, 183, 181, 181, 181, 181, 182, 183, 181, 181, 182, 182, 182, 182, 183, 184, 181, 179, 178, 179, 184, 188, 192, 193, 196, 197, 197, 196, 195, 194, 192, 191, 190, 191, 191, 192, 193, 195, 196, 197, 208, 206, 202, 198, 195, 193, 193, 193, 194, 193, 192, 192, 194, 196, 199, 201, 198, 194, 188, 183, 180, 180, 182, 183, 182, 183]
[185, 183, 198, 205, 212, 211, 202, 191, 184, 182, 187, 187, 187, 188, 188, 190, 191, 191, 193, 193, 191, 188, 185, 182, 181, 182, 185, 185, 186, 188, 190, 192, 194, 196, 190, 188, 185, 182, 180, 180, 180, 180, 183, 183, 184, 185, 187, 188, 188, 188, 185, 183, 181, 181, 184, 187, 188, 188, 194, 196, 200, 204, 205, 204, 201, 199, 196, 196, 196, 195, 195, 194, 194, 193, 204, 203, 201, 199, 197, 196, 195, 195, 197, 196, 195, 195, 196, 197, 198, 199, 200, 197, 193, 189, 187, 187, 189, 190, 190, 188]
[181, 179, 183, 191, 201, 205, 202, 195, 189, 185, 185, 185, 184, 183, 184, 185, 187, 188, 197, 197, 196, 194, 191, 187, 183, 181, 180, 179, 178, 179, 182, 187, 192, 195, 196, 194, 191, 188, 185, 184, 183, 184, 184, 183, 183, 185, 188, 190, 190, 189, 191, 190, 188, 188, 190, 191, 191, 190, 191, 194, 199, 203, 205, 204, 203, 201, 199, 200, 201, 201, 200, 198, 196, 194, 194, 194, 195, 196, 197, 198, 199, 199, 203, 203, 202, 200, 198, 196, 195, 194, 196, 194, 193, 192, 193, 195, 197, 199, 202, 198]
[183, 180, 172, 177, 185, 192, 196, 197, 197, 196, 190, 190, 191, 192, 192, 193, 193, 193, 196, 196, 195, 195, 194, 192, 190, 188, 187, 185, 183, 182, 183, 185, 189, 191, 193, 192, 191, 190, 190, 190, 190, 190, 189, 187, 185, 186, 188, 190, 190, 189, 192, 192, 192, 195, 197, 198, 197, 195, 194, 195, 196, 197, 198, 199, 199, 199, 196, 198, 201, 204, 205, 203, 200, 198, 193, 194, 196, 198, 200, 201, 201, 201, 204, 205, 205, 205, 203, 200, 198, 196, 196, 196, 196, 197, 198, 199, 200, 201, 207, 204]
[187, 185, 187, 186, 186, 189, 193, 197, 199, 199, 195, 198, 201, 205, 207, 207, 205, 204, 194, 193, 192, 193, 195, 196, 196, 196, 200, 198, 195, 191, 189, 188, 188, 189, 186, 187, 188, 190, 191, 193, 194, 194, 195, 192, 188, 188, 190, 191, 191, 189, 189, 190, 192, 197, 201, 203, 201, 199, 201, 199, 196, 193, 192, 193, 195, 197, 189, 193, 199, 204, 206, 206, 203, 201, 201, 202, 203, 204, 204, 203, 201, 200, 200, 202, 205, 207, 208, 206, 204, 202, 202, 202, 202, 202, 201, 200, 199, 198, 204, 204]
[187, 187, 186, 186, 186, 187, 187, 189, 190, 191, 192, 197, 203, 209, 212, 211, 209, 207, 191, 190, 187, 186, 187, 189, 191, 193, 200, 200, 200, 199, 197, 194, 191, 189, 190, 192, 193, 191, 189, 188, 191, 193, 195, 195, 195, 195, 195, 195, 195, 195, 192, 190, 189, 191, 197, 202, 204, 203, 201, 199, 198, 196, 197, 198, 200, 202, 199, 199, 199, 202, 205, 205, 204, 202, 207, 207, 207, 206, 205, 204, 203, 202, 196, 197, 199, 199, 199, 200, 203, 206, 203, 202, 201, 200, 201, 202, 203, 204, 202, 201]
[194, 193, 190, 190, 190, 189, 189, 189, 188, 188, 193, 195, 197, 200, 202, 203, 203, 202, 199, 198, 197, 196, 194, 194, 193, 193, 195, 196, 197, 198, 198, 197, 196, 195, 197, 198, 198, 195, 191, 189, 190, 192, 193, 194, 195, 196, 198, 200, 202, 204, 194, 192, 191, 192, 196, 198, 197, 196, 199, 198, 197, 197, 198, 201, 203, 205, 203, 203, 204, 207, 209, 208, 206, 203, 207, 207, 208, 208, 209, 208, 208, 207, 202, 203, 203, 203, 201, 201, 203, 205, 204, 204, 203, 203, 204, 206, 207, 209, 201, 200]
[196, 195, 194, 195, 195, 194, 193, 190, 188, 187, 192, 191, 191, 190, 191, 192, 194, 195, 201, 201, 201, 199, 197, 194, 191, 189, 190, 191, 193, 195, 197, 199, 199, 200, 203, 203, 201, 197, 193, 190, 190, 191, 194, 194, 194, 195, 197, 200, 203, 205, 198, 197, 196, 196, 197, 196, 194, 192, 197, 196, 196, 196, 198, 200, 203, 205, 206, 206, 208, 210, 212, 211, 207, 204, 204, 205, 206, 207, 208, 209, 209, 208, 207, 208, 207, 205, 202, 201, 202, 204, 201, 201, 201, 201, 203, 204, 205, 206, 202, 200]
[191, 191, 195, 196, 197, 197, 195, 192, 189, 187, 190, 189, 187, 186, 185, 185, 186, 187, 192, 191, 191, 190, 188, 187, 185, 184, 189, 190, 191, 193, 194, 196, 196, 197, 199, 199, 197, 195, 193, 192, 192, 192, 197, 195, 194, 192, 192, 193, 195, 196, 199, 199, 200, 201, 201, 200, 198, 196, 196, 195, 195, 196, 197, 198, 200, 201, 202, 203, 205, 209, 211, 210, 206, 203, 199, 200, 201, 202, 203, 203, 203, 203, 206, 207, 206, 204, 201, 199, 200, 202, 196, 196, 196, 196, 196, 197, 197, 198, 202, 201]
[185, 186, 188, 190, 191, 192, 192, 190, 187, 185, 187, 187, 188, 188, 187, 185, 183, 182, 181, 180, 178, 178, 178, 180, 183, 184, 192, 191, 191, 190, 190, 190, 190, 190, 191, 190, 189, 190, 191, 193, 193, 194, 197, 196, 194, 192, 191, 191, 191, 192, 194, 196, 199, 201, 201, 201, 201, 201, 200, 199, 199, 198, 198, 198, 198, 199, 195, 196, 198, 202, 205, 205, 203, 200, 197, 198, 198, 199, 199, 198, 198, 197, 200, 201, 201, 199, 197, 196, 198, 199, 198, 198, 197, 197, 196, 195, 195, 194, 199, 198]
[185, 184, 182, 183, 184, 185, 185, 185, 183, 183, 186, 188, 190, 191, 190, 188, 184, 182, 180, 179, 177, 177, 178, 182, 186, 188, 194, 193, 191, 189, 188, 187, 187, 187, 186, 185, 184, 186, 190, 193, 194, 193, 194, 194, 195, 195, 196, 196, 196, 196, 191, 194, 196, 198, 198, 198, 200, 201, 203, 203, 202, 202, 201, 200, 200, 199, 192, 191, 192, 195, 198, 199, 197, 195, 197, 197, 198, 198, 198, 198, 197, 196, 196, 197, 198, 196, 195, 194, 196, 198, 203, 203, 203, 203, 202, 201, 200, 199, 197, 196]
[188, 185, 183, 183, 183, 183, 183, 183, 183, 183, 187, 188, 191, 192, 192, 190, 188, 186, 188, 187, 187, 186, 187, 188, 189, 190, 193, 192, 191, 190, 189, 189, 190, 190, 190, 187, 186, 187, 190, 192, 192, 191, 191, 193, 196, 199, 200, 201, 200, 200, 196, 197, 198, 197, 194, 194, 196, 198, 200, 201, 201, 201, 201, 200, 200, 199, 194, 192, 191, 191, 192, 193, 192, 191, 194, 195, 196, 197, 198, 198, 198, 198, 197, 198, 198, 197, 195, 194, 195, 197, 201, 202, 203, 204, 205, 205, 204, 203, 199, 198]
[190, 185, 188, 187, 186, 185, 185, 185, 185, 185, 188, 189, 190, 191, 192, 192, 191, 191, 195, 196, 196, 195, 194, 192, 190, 189, 192, 191, 190, 190, 191, 193, 194, 196, 196, 192, 189, 189, 191, 192, 190, 188, 190, 193, 196, 200, 201, 200, 199, 197, 203, 204, 203, 199, 194, 192, 194, 196, 195, 195, 196, 197, 198, 198, 198, 197, 198, 195, 191, 190, 190, 190, 189, 188, 190, 191, 193, 195, 196, 197, 198, 198, 200, 201, 200, 198, 196, 195, 196, 197, 194, 196, 198, 201, 202, 203, 203, 203, 204, 203]
[197, 197, 196, 198, 199, 197, 191, 184, 180, 178, 179, 179, 181, 183, 185, 187, 189, 190, 196, 195, 194, 193, 192, 191, 191, 191, 184, 186, 189, 190, 190, 191, 193, 195, 195, 193, 189, 186, 186, 188, 191, 193, 192, 193, 194, 195, 196, 197, 197, 196, 202, 203, 203, 203, 203, 203, 202, 201, 199, 199, 199, 198, 198, 197, 197, 197, 197, 197, 198, 198, 198, 199, 199, 199, 193, 192, 191, 190, 190, 192, 193, 194, 198, 199, 200, 201, 201, 200, 199, 198, 194, 194, 193, 193, 194, 196, 199, 200, 202, 204]
[185, 184, 190, 192, 194, 193, 190, 187, 185, 185, 181, 182, 184, 185, 187, 188, 188, 188, 195, 194, 193, 192, 191, 191, 190, 190, 188, 190, 192, 192, 191, 191, 193, 194, 194, 192, 190, 188, 187, 188, 190, 191, 189, 190, 190, 191, 192, 193, 194, 195, 195, 196, 198, 200, 201, 203, 203, 204, 201, 200, 200, 199, 197, 196, 196, 195, 197, 197, 197, 197, 197, 197, 198, 198, 195, 194, 192, 191, 190, 190, 191, 192, 193, 194, 195, 197, 197, 197, 196, 196, 193, 193, 193, 194, 195, 196, 197, 198, 197, 198]
[182, 181, 188, 190, 191, 191, 190, 188, 189, 189, 184, 186, 188, 189, 190, 189, 187, 186, 190, 190, 189, 188, 188, 187, 187, 186, 189, 191, 192, 191, 189, 189, 190, 191, 191, 191, 190, 189, 188, 187, 187, 186, 183, 182, 182, 182, 183, 186, 188, 189, 190, 191, 193, 195, 197, 199, 201, 201, 201, 201, 200, 198, 197, 195, 194, 194, 196, 196, 196, 195, 195, 195, 195, 195, 197, 195, 193, 191, 190, 190, 190, 191, 190, 190, 192, 193, 193, 193, 193, 192, 191, 191, 192, 193, 194, 194, 194, 194, 192, 192]
[190, 189, 190, 191, 191, 189, 187, 185, 185, 186, 185, 187, 189, 191, 190, 187, 184, 182, 182, 182, 182, 182, 181, 180, 180, 179, 183, 184, 185, 185, 183, 183, 184, 185, 188, 189, 189, 189, 188, 186, 184, 182, 176, 175, 174, 174, 175, 177, 180, 182, 189, 189, 190, 190, 191, 193, 194, 195, 198, 198, 197, 197, 196, 195, 195, 194, 194, 194, 194, 194, 193, 193, 193, 193, 195, 194, 192, 191, 190, 190, 191, 192, 192, 192, 192, 192, 191, 191, 190, 190, 188, 189, 190, 192, 192, 191, 190, 189, 188, 189]
[189, 187, 184, 185, 185, 184, 181, 179, 179, 181, 181, 182, 184, 185, 184, 181, 178, 176, 175, 175, 176, 177, 176, 175, 174, 173, 174, 175, 177, 177, 176, 177, 178, 180, 185, 187, 189, 190, 189, 186, 183, 181, 177, 176, 175, 174, 175, 177, 179, 181, 186, 186, 186, 186, 187, 189, 191, 192, 192, 193, 193, 194, 194, 195, 196, 196, 193, 192, 192, 192, 192, 192, 192, 192, 192, 191, 190, 190, 191, 192, 194, 195, 197, 196, 195, 193, 191, 190, 189, 189, 186, 187, 188, 189, 189, 188, 186, 185, 185, 187]
[175, 172, 172, 175, 177, 178, 177, 176, 177, 179, 175, 176, 178, 179, 179, 177, 175, 173, 175, 176, 177, 178, 178, 177, 175, 174, 172, 174, 176, 176, 176, 176, 178, 179, 186, 188, 190, 192, 192, 189, 186, 184, 186, 185, 184, 183, 183, 184, 185, 186, 182, 182, 182, 183, 186, 189, 192, 194, 188, 188, 189, 191, 192, 194, 195, 195, 191, 191, 191, 192, 192, 192, 193, 193, 191, 190, 190, 190, 191, 193, 195, 197, 199, 198, 195, 193, 191, 190, 190, 189, 188, 188, 188, 188, 187, 186, 185, 185, 183, 186]
[168, 167, 167, 171, 176, 178, 179, 179, 179, 180, 176, 177, 178, 179, 180, 180, 179, 179, 181, 183, 184, 186, 186, 185, 183, 182, 181, 182, 184, 183, 182, 181, 182, 184, 189, 191, 194, 196, 196, 195, 192, 191, 194, 194, 193, 192, 191, 191, 190, 190, 184, 183, 183, 183, 185, 187, 191, 192, 186, 187, 188, 189, 190, 191, 192, 192, 189, 189, 190, 191, 192, 193, 194, 195, 192, 191, 190, 190, 191, 192, 194, 195, 195, 194, 192, 190, 190, 190, 190, 191, 192, 191, 190, 189, 188, 187, 187, 187, 184, 185]
[172, 174, 171, 175, 180, 183, 183, 183, 182, 182, 181, 182, 182, 183, 185, 186, 186, 187, 188, 189, 192, 193, 193, 192, 190, 189, 191, 192, 192, 191, 188, 187, 187, 188, 192, 194, 196, 198, 199, 199, 197, 196, 198, 198, 197, 197, 195, 193, 192, 191, 189, 187, 185, 184, 183, 185, 187, 188, 187, 187, 187, 187, 188, 188, 189, 189, 188, 189, 190, 191, 193, 194, 195, 196, 194, 193, 192, 190, 190, 191, 192, 193, 190, 189, 188, 187, 188, 189, 190, 192, 196, 194, 192, 190, 189, 189, 189, 190, 187, 186]
[178, 178, 178, 179, 180, 182, 184, 187, 188, 189, 191, 191, 191, 190, 189, 187, 186, 185, 182, 186, 189, 190, 188, 188, 192, 195, 198, 197, 196, 194, 193, 191, 190, 190, 194, 194, 193, 193, 194, 194, 195, 196, 199, 199, 199, 198, 196, 193, 190, 188, 194, 192, 189, 188, 187, 186, 184, 181, 184, 181, 179, 179, 183, 187, 191, 192, 184, 190, 195, 197, 195, 193, 193, 194, 198, 196, 193, 191, 190, 191, 193, 194, 192, 190, 189, 190, 192, 193, 192, 190, 191, 190, 189, 189, 188, 189, 189, 189, 188, 188]
[178, 177, 180, 181, 182, 183, 184, 186, 187, 187, 188, 188, 188, 187, 186, 185, 183, 183, 186, 187, 188, 187, 186, 185, 187, 189, 194, 195, 195, 195, 194, 194, 193, 192, 190, 190, 190, 190, 190, 191, 192, 192, 194, 195, 196, 197, 197, 197, 197, 197, 200, 198, 196, 196, 197, 196, 195, 193, 190, 187, 184, 184, 185, 187, 187, 187, 189, 192, 196, 198, 198, 198, 198, 198, 197, 196, 195, 193, 192, 192, 192, 191, 191, 190, 190, 191, 193, 195, 194, 193, 195, 194, 191, 187, 184, 181, 179, 178, 178, 179]
[184, 183, 183, 183, 183, 184, 184, 184, 184, 184, 189, 189, 189, 189, 188, 187, 186, 186, 190, 188, 185, 185, 186, 188, 188, 188, 191, 192, 194, 196, 196, 196, 195, 195, 189, 189, 189, 189, 190, 190, 191, 191, 192, 192, 192, 193, 195, 196, 198, 199, 200, 199, 198, 199, 200, 201, 200, 199, 198, 195, 193, 191, 191, 190, 187, 185, 192, 192, 193, 196, 199, 201, 201, 200, 195, 195, 195, 194, 193, 191, 189, 188, 186, 185, 185, 187, 191, 193, 193, 192, 188, 186, 183, 178, 173, 168, 165, 163, 165, 166]
[187, 187, 188, 188, 187, 187, 186, 185, 185, 184, 189, 190, 190, 190, 190, 189, 188, 187, 190, 187, 184, 185, 190, 194, 194, 193, 190, 192, 194, 196, 197, 197, 196, 195, 191, 191, 192, 193, 193, 193, 193, 193, 194, 193, 191, 190, 189, 190, 191, 192, 195, 193, 192, 193, 194, 195, 194, 192, 199, 198, 197, 197, 197, 195, 191, 189, 191, 189, 189, 192, 197, 201, 200, 198, 195, 194, 192, 190, 188, 187, 187, 187, 180, 179, 180, 183, 186, 189, 189, 189, 181, 180, 178, 175, 171, 168, 165, 163, 167, 167]
[187, 187, 193, 193, 192, 191, 190, 190, 189, 189, 187, 187, 188, 187, 187, 186, 184, 184, 187, 184, 182, 184, 189, 192, 192, 191, 189, 191, 192, 194, 194, 194, 193, 193, 192, 192, 194, 195, 195, 195, 194, 194, 196, 195, 193, 191, 189, 189, 190, 191, 193, 191, 189, 188, 189, 188, 186, 184, 191, 191, 191, 194, 196, 195, 193, 190, 190, 188, 188, 191, 196, 199, 199, 197, 194, 191, 186, 183, 181, 182, 185, 187, 183, 182, 183, 185, 189, 191, 191, 191, 189, 189, 188, 186, 184, 181, 178, 177, 179, 179]
[189, 188, 192, 192, 192, 191, 191, 191, 190, 190, 187, 187, 187, 186, 185, 183, 182, 181, 181, 180, 179, 180, 181, 182, 181, 179, 185, 185, 186, 187, 188, 189, 190, 190, 189, 191, 192, 194, 194, 193, 192, 191, 196, 196, 195, 195, 195, 196, 197, 197, 197, 194, 192, 190, 190, 188, 186, 183, 181, 181, 182, 185, 188, 189, 188, 186, 189, 189, 190, 193, 196, 198, 197, 196, 191, 187, 182, 178, 177, 180, 184, 187, 191, 190, 190, 191, 194, 195, 195, 194, 196, 196, 195, 194, 191, 188, 185, 183, 182, 184]
[185, 184, 181, 181, 181, 182, 182, 183, 183, 183, 182, 181, 181, 179, 177, 175, 173, 172, 170, 172, 175, 176, 175, 173, 172, 172, 177, 177, 176, 177, 179, 182, 186, 188, 188, 189, 191, 193, 193, 193, 191, 190, 195, 195, 197, 198, 199, 200, 200, 200, 198, 196, 193, 192, 191, 190, 187, 185, 180, 179, 179, 180, 182, 183, 182, 180, 184, 187, 191, 193, 193, 192, 192, 193, 187, 184, 181, 178, 178, 181, 184, 187, 193, 191, 190, 191, 192, 192, 191, 190, 191, 191, 192, 191, 189, 186, 183, 181, 180, 182]
[174, 173, 168, 169, 169, 170, 172, 173, 173, 174, 169, 168, 167, 166, 163, 160, 158, 157, 161, 166, 172, 174, 173, 171, 172, 173, 170, 169, 169, 170, 173, 178, 183, 187, 189, 190, 193, 194, 195, 194, 192, 191, 194, 195, 197, 199, 199, 199, 198, 197, 195, 193, 191, 190, 190, 189, 186, 184, 184, 182, 180, 180, 181, 180, 179, 177, 178, 183, 189, 191, 189, 187, 187, 188, 184, 183, 181, 181, 181, 183, 184, 186, 188, 187, 185, 184, 185, 185, 183, 181, 185, 186, 187, 188, 188, 186, 183, 182, 182, 185]
[164, 163, 161, 160, 160, 164, 168, 171, 170, 168, 168, 168, 167, 167, 167, 167, 168, 169, 174, 176, 179, 182, 183, 184, 184, 184, 181, 180, 178, 178, 179, 181, 184, 186, 185, 186, 188, 189, 189, 188, 187, 186, 185, 186, 187, 188, 188, 187, 185, 184, 185, 184, 183, 182, 182, 182, 183, 183, 181, 181, 182, 183, 183, 181, 180, 178, 179, 179, 179, 180, 181, 181, 182, 182, 179, 178, 177, 178, 182, 185, 187, 187, 185, 184, 182, 180, 179, 181, 182, 184, 186, 187, 188, 187, 184, 179, 175, 172, 177, 178]
[175, 175, 174, 173, 173, 177, 181, 183, 183, 181, 183, 182, 182, 181, 181, 182, 183, 183, 181, 181, 182, 183, 183, 183, 182, 182, 182, 181, 180, 180, 181, 182, 184, 185, 183, 184, 185, 186, 186, 185, 184, 183, 182, 183, 184, 185, 185, 184, 183, 183, 183, 183, 182, 182, 182, 182, 183, 184, 180, 181, 182, 182, 182, 181, 179, 178, 177, 177, 177, 177, 177, 178, 178, 178, 175, 173, 172, 173, 176, 180, 181, 181, 181, 180, 178, 177, 177, 178, 180, 181, 180, 181, 181, 181, 179, 175, 171, 169, 170, 170]
[187, 188, 183, 183, 182, 184, 187, 189, 189, 188, 189, 188, 188, 187, 187, 187, 188, 189, 184, 184, 183, 183, 183, 183, 184, 184, 183, 183, 183, 183, 183, 183, 184, 184, 180, 181, 181, 182, 182, 181, 180, 180, 177, 178, 179, 180, 181, 181, 181, 181, 180, 180, 180, 180, 181, 182, 183, 184, 180, 180, 181, 181, 180, 180, 179, 178, 177, 177, 176, 176, 176, 176, 175, 175, 172, 170, 169, 170, 173, 176, 177, 177, 179, 178, 177, 177, 177, 179, 181, 182, 177, 177, 178, 178, 176, 173, 170, 168, 165, 165]
[192, 193, 190, 189, 188, 188, 189, 189, 189, 189, 187, 187, 186, 185, 185, 185, 186, 186, 184, 183, 182, 182, 183, 185, 187, 189, 183, 184, 184, 185, 184, 184, 183, 182, 179, 179, 179, 179, 179, 178, 178, 177, 174, 175, 176, 177, 178, 179, 180, 180, 178, 178, 178, 178, 180, 181, 182, 183, 179, 179, 179, 179, 179, 178, 178, 177, 179, 179, 178, 178, 177, 176, 176, 176, 174, 172, 170, 171, 173, 176, 177, 177, 180, 179, 179, 180, 181, 183, 185, 186, 181, 182, 182, 181, 179, 176, 173, 171, 164, 166]
[192, 193, 198, 197, 195, 193, 191, 190, 190, 191, 190, 189, 188, 187, 186, 186, 187, 187, 184, 184, 183, 182, 183, 184, 186, 187, 183, 183, 184, 184, 184, 183, 182, 181, 179, 179, 178, 177, 177, 176, 176, 176, 174, 174, 175, 175, 177, 178, 179, 179, 176, 176, 176, 177, 178, 179, 181, 182, 179, 178, 178, 177, 177, 177, 177, 177, 178, 178, 178, 177, 176, 176, 175, 175, 175, 173, 171, 171, 174, 176, 176, 176, 179, 180, 180, 181, 183, 185, 186, 187, 187, 187, 186, 184, 182, 179, 176, 174, 166, 169]
[189, 190, 195, 194, 193, 190, 187, 186, 187, 189, 189, 188, 187, 186, 185, 185, 185, 185, 187, 186, 184, 182, 181, 180, 180, 179, 181, 181, 181, 181, 181, 181, 181, 181, 179, 178, 177, 176, 175, 175, 175, 175, 174, 174, 174, 174, 175, 176, 177, 178, 176, 176, 175, 176, 176, 177, 178, 179, 178, 177, 176, 176, 175, 175, 176, 176, 175, 175, 175, 175, 175, 174, 174, 174, 175, 173, 171, 170, 172, 174, 174, 174, 176, 177, 178, 179, 181, 182, 183, 183, 187, 186, 185, 184, 182, 179, 177, 176, 170, 173]
[185, 184, 183, 184, 184, 182, 179, 179, 182, 186, 184, 183, 182, 180, 179, 179, 179, 179, 184, 184, 183, 182, 180, 178, 176, 175, 178, 177, 177, 176, 177, 179, 180, 181, 178, 177, 175, 174, 173, 173, 173, 174, 174, 174, 173, 172, 172, 173, 174, 175, 176, 175, 175, 175, 175, 175, 176, 177, 178, 177, 175, 174, 174, 174, 175, 176, 175, 175, 175, 175, 176, 176, 176, 176, 176, 174, 171, 171, 172, 174, 174, 173, 175, 175, 176, 178, 179, 179, 180, 180, 183, 183, 183, 182, 182, 181, 180, 180, 179, 179]
[180, 179, 177, 179, 180, 178, 177, 179, 183, 188, 182, 181, 179, 178, 177, 176, 176, 177, 178, 179, 180, 181, 180, 179, 177, 176, 177, 175, 174, 174, 175, 177, 180, 182, 177, 176, 174, 172, 171, 171, 171, 172, 174, 173, 172, 170, 170, 171, 172, 173, 176, 176, 175, 174, 174, 174, 175, 175, 178, 176, 175, 173, 173, 174, 175, 175, 177, 177, 177, 178, 179, 179, 180, 180, 179, 176, 174, 173, 174, 176, 176, 175, 175, 176, 177, 178, 179, 179, 179, 179, 180, 180, 181, 182, 183, 184, 184, 184, 187, 184]
[176, 177, 175, 175, 175, 175, 175, 175, 175, 175, 173, 174, 174, 175, 177, 178, 178, 179, 178, 177, 177, 177, 177, 177, 177, 177, 174, 174, 175, 176, 177, 177, 178, 178, 178, 177, 175, 173, 172, 171, 170, 170, 172, 173, 173, 172, 170, 170, 172, 174, 173, 173, 173, 173, 172, 171, 171, 171, 172, 171, 170, 170, 172, 174, 177, 179, 177, 177, 177, 176, 176, 176, 175, 175, 177, 177, 177, 175, 174, 174, 176, 178, 172, 174, 175, 177, 178, 178, 177, 176, 179, 180, 181, 183, 184, 186, 187, 187, 189, 185]
[175, 175, 175, 175, 175, 175, 175, 175, 175, 175, 175, 175, 176, 176, 176, 176, 176, 176, 178, 178, 178, 177, 176, 176, 176, 175, 175, 175, 175, 175, 176, 176, 176, 176, 175, 174, 173, 171, 169, 169, 168, 168, 167, 168, 169, 169, 168, 168, 169, 171, 173, 172, 171, 170, 169, 169, 169, 169, 170, 169, 168, 168, 169, 172, 175, 176, 175, 175, 174, 174, 174, 173, 173, 173, 175, 175, 176, 174, 173, 173, 176, 178, 174, 175, 176, 177, 178, 177, 176, 176, 177, 177, 178, 179, 180, 181, 182, 183, 183, 181]
[173, 174, 175, 175, 175, 175, 175, 175, 175, 175, 177, 177, 176, 176, 175, 175, 175, 174, 179, 179, 178, 177, 176, 175, 174, 173, 176, 176, 175, 175, 175, 174, 174, 174, 172, 171, 170, 168, 167, 167, 167, 167, 168, 170, 172, 172, 170, 169, 169, 170, 172, 171, 169, 167, 167, 167, 167, 168, 167, 167, 166, 166, 167, 169, 172, 173, 172, 172, 172, 171, 171, 171, 170, 170, 172, 173, 174, 174, 173, 173, 176, 178, 176, 177, 177, 177, 177, 176, 175, 174, 174, 175, 175, 175, 176, 176, 177, 177, 176, 175]
[173, 174, 176, 176, 175, 175, 175, 175, 174, 174, 175, 175, 175, 175, 175, 175, 175, 175, 179, 179, 177, 176, 175, 173, 172, 172, 176, 176, 175, 174, 173, 172, 172, 171, 170, 169, 168, 167, 166, 166, 167, 167, 170, 172, 173, 173, 171, 169, 168, 169, 172, 171, 168, 166, 165, 166, 167, 168, 166, 166, 166, 166, 167, 168, 170, 171, 171, 171, 170, 170, 170, 169, 169, 169, 171, 172, 174, 174, 173, 174, 176, 178, 178, 178, 177, 177, 176, 175, 174, 173, 174, 174, 174, 174, 174, 174, 174, 174, 171, 171]
[175, 175, 176, 176, 176, 175, 175, 174, 174, 174, 173, 173, 174, 174, 175, 176, 177, 177, 178, 178, 177, 175, 174, 173, 171, 171, 175, 175, 174, 173, 172, 171, 170, 169, 169, 169, 168, 167, 167, 168, 168, 168, 166, 167, 169, 169, 168, 168, 169, 170, 170, 169, 168, 167, 166, 167, 168, 168, 167, 167, 167, 167, 168, 169, 170, 170, 171, 171, 171, 170, 170, 169, 169, 169, 170, 172, 174, 175, 174, 174, 176, 178, 178, 177, 176, 175, 174, 173, 172, 172, 175, 175, 174, 174, 174, 173, 173, 173, 169, 169]
[176, 176, 177, 177, 176, 175, 175, 174, 173, 173, 171, 172, 173, 174, 175, 176, 177, 178, 177, 176, 175, 174, 173, 172, 171, 171, 174, 173, 173, 172, 171, 170, 169, 169, 169, 168, 168, 168, 168, 169, 169, 170, 166, 167, 168, 168, 167, 167, 170, 172, 166, 167, 167, 167, 168, 168, 168, 168, 167, 167, 168, 168, 169, 169, 170, 170, 171, 171, 171, 170, 170, 169, 169, 169, 169, 171, 174, 174, 173, 173, 174, 175, 176, 175, 174, 172, 171, 171, 171, 171, 173, 173, 173, 172, 172, 172, 172, 172, 169, 169]
[176, 175, 177, 177, 176, 175, 175, 174, 173, 173, 173, 173, 173, 174, 174, 175, 175, 176, 175, 174, 174, 174, 173, 172, 172, 172, 172, 172, 171, 171, 170, 169, 169, 169, 167, 167, 167, 167, 167, 168, 169, 170, 171, 169, 166, 161, 157, 155, 157, 159, 160, 162, 165, 167, 168, 168, 167, 167, 167, 167, 168, 168, 169, 169, 168, 168, 170, 170, 170, 169, 169, 168, 168, 168, 167, 170, 172, 172, 171, 170, 170, 170, 174, 173, 171, 170, 169, 169, 170, 171, 168, 168, 168, 168, 168, 169, 169, 169, 170, 168]
[175, 175, 177, 177, 176, 175, 175, 174, 173, 173, 175, 175, 174, 174, 174, 174, 173, 173, 173, 173, 173, 173, 173, 173, 173, 172, 171, 171, 170, 170, 170, 169, 169, 169, 166, 166, 166, 166, 167, 168, 169, 170, 171, 167, 159, 149, 140, 135, 134, 135, 156, 159, 163, 166, 168, 168, 166, 165, 166, 166, 167, 168, 168, 168, 167, 167, 169, 169, 169, 168, 168, 167, 167, 167, 166, 168, 170, 170, 168, 167, 166, 167, 172, 171, 170, 168, 168, 169, 170, 170, 163, 164, 164, 164, 165, 165, 166, 166, 170, 168]
[175, 174, 178, 178, 178, 177, 176, 174, 172, 171, 176, 175, 173, 172, 170, 169, 169, 169, 168, 168, 169, 170, 169, 169, 168, 167, 169, 169, 168, 166, 165, 165, 167, 169, 169, 168, 166, 165, 166, 166, 166, 165, 161, 161, 160, 150, 124, 103, 108, 127, 145, 158, 167, 164, 160, 162, 164, 163, 167, 168, 168, 168, 168, 169, 169, 169, 168, 168, 167, 166, 165, 164, 164, 163, 166, 167, 168, 168, 169, 168, 168, 168, 171, 171, 170, 168, 165, 165, 167, 169, 166, 166, 164, 163, 163, 162, 162, 163, 162, 164]
[172, 172, 174, 174, 174, 173, 171, 170, 168, 167, 171, 171, 171, 171, 171, 171, 171, 171, 168, 168, 167, 167, 167, 167, 166, 166, 167, 167, 167, 165, 164, 164, 165, 167, 168, 167, 165, 165, 164, 164, 162, 161, 160, 159, 157, 148, 126, 110, 118, 136, 154, 163, 168, 165, 163, 165, 166, 165, 164, 164, 164, 164, 164, 164, 164, 164, 163, 163, 163, 163, 163, 163, 163, 163, 164, 165, 166, 168, 169, 169, 168, 167, 166, 167, 168, 166, 164, 163, 164, 166, 168, 166, 163, 160, 158, 157, 156, 156, 156, 158]
[170, 169, 170, 170, 170, 170, 170, 169, 168, 167, 165, 166, 167, 167, 166, 165, 163, 162, 158, 157, 156, 154, 154, 154, 155, 156, 156, 156, 156, 155, 155, 154, 154, 154, 157, 158, 159, 160, 160, 159, 157, 156, 159, 158, 158, 151, 136, 125, 135, 152, 160, 163, 163, 160, 160, 164, 165, 163, 164, 164, 164, 164, 164, 164, 164, 163, 163, 163, 162, 162, 162, 162, 162, 161, 161, 163, 165, 167, 167, 166, 164, 163, 161, 162, 164, 163, 160, 157, 156, 156, 158, 156, 152, 148, 146, 145, 145, 146, 146, 148]
[161, 160, 162, 162, 163, 163, 163, 162, 161, 161, 162, 162, 162, 160, 156, 152, 147, 144, 143, 141, 139, 137, 136, 137, 139, 140, 142, 141, 140, 140, 140, 140, 138, 137, 142, 145, 149, 151, 152, 152, 152, 152, 151, 153, 155, 153, 144, 138, 145, 158, 160, 157, 153, 152, 155, 158, 159, 157, 157, 157, 157, 157, 157, 157, 157, 157, 158, 158, 157, 156, 156, 155, 154, 154, 159, 161, 164, 166, 166, 165, 163, 161, 161, 163, 165, 164, 160, 155, 152, 151, 150, 148, 145, 142, 141, 141, 143, 144, 150, 153]
[154, 154, 156, 156, 155, 154, 151, 149, 147, 146, 152, 152, 151, 149, 144, 139, 134, 131, 129, 127, 125, 124, 124, 125, 127, 129, 131, 128, 126, 126, 127, 127, 125, 123, 127, 131, 136, 139, 138, 138, 138, 140, 135, 138, 143, 145, 141, 137, 140, 145, 153, 147, 143, 144, 149, 151, 151, 150, 143, 143, 144, 144, 145, 145, 145, 145, 149, 149, 150, 151, 152, 152, 153, 153, 160, 162, 165, 169, 171, 172, 172, 171, 171, 174, 178, 178, 176, 172, 169, 168, 170, 168, 165, 163, 161, 161, 162, 163, 174, 177]
[163, 163, 164, 163, 161, 158, 154, 150, 146, 144, 139, 139, 139, 138, 136, 134, 131, 130, 124, 124, 123, 123, 124, 125, 127, 129, 129, 125, 120, 119, 121, 123, 121, 119, 120, 125, 129, 130, 128, 127, 129, 132, 132, 136, 141, 144, 143, 140, 138, 137, 146, 142, 140, 145, 150, 150, 150, 151, 151, 151, 152, 153, 154, 156, 156, 157, 159, 160, 163, 166, 170, 173, 175, 176, 172, 174, 177, 181, 185, 188, 190, 191, 188, 192, 197, 200, 200, 199, 198, 198, 207, 205, 202, 199, 196, 194, 192, 191, 194, 196]
[175, 175, 173, 172, 172, 170, 168, 166, 163, 162, 149, 149, 150, 150, 151, 151, 152, 152, 143, 144, 145, 147, 148, 150, 151, 152, 150, 143, 137, 135, 137, 140, 139, 137, 136, 141, 146, 148, 148, 149, 154, 159, 163, 166, 168, 169, 168, 167, 163, 159, 162, 160, 164, 172, 176, 174, 174, 177, 184, 185, 186, 188, 190, 192, 194, 195, 191, 192, 195, 197, 201, 204, 206, 207, 200, 200, 200, 201, 202, 204, 206, 207, 203, 207, 211, 213, 214, 213, 214, 215, 225, 224, 223, 221, 217, 213, 210, 208, 200, 200]
[177, 176, 170, 171, 173, 175, 177, 178, 178, 178, 176, 176, 176, 177, 178, 179, 181, 182, 173, 174, 176, 179, 181, 183, 183, 184, 179, 172, 164, 161, 164, 167, 167, 166, 164, 170, 177, 181, 183, 188, 197, 204, 203, 203, 202, 200, 200, 199, 195, 190, 192, 191, 198, 209, 212, 209, 209, 213, 213, 214, 216, 218, 221, 223, 225, 226, 217, 217, 218, 219, 220, 221, 222, 222, 227, 224, 220, 216, 214, 213, 213, 213, 212, 214, 216, 217, 215, 213, 213, 214, 220, 221, 222, 221, 219, 216, 213, 211, 202, 199]
[181, 178, 177, 178, 179, 177, 177, 183, 195, 204, 202, 199, 196, 196, 201, 207, 212, 215, 201, 203, 207, 212, 216, 218, 220, 220, 212, 206, 198, 194, 194, 196, 196, 195, 199, 199, 199, 200, 203, 209, 216, 221, 226, 224, 223, 224, 225, 224, 219, 215, 212, 212, 214, 219, 226, 229, 227, 224, 226, 227, 230, 233, 235, 233, 229, 226, 227, 217, 213, 217, 220, 219, 221, 227, 228, 226, 226, 227, 226, 220, 215, 213, 219, 219, 218, 214, 211, 210, 214, 217, 221, 222, 222, 221, 217, 211, 204, 200, 194, 196]
[219, 217, 207, 208, 207, 204, 200, 201, 207, 213, 220, 217, 214, 214, 217, 221, 224, 225, 224, 225, 227, 229, 231, 233, 233, 234, 231, 226, 219, 216, 216, 218, 219, 219, 210, 209, 209, 208, 210, 214, 219, 223, 225, 223, 221, 222, 224, 225, 223, 220, 215, 215, 217, 219, 221, 221, 219, 217, 223, 223, 225, 228, 230, 228, 224, 221, 216, 211, 207, 208, 210, 212, 214, 217, 225, 223, 222, 222, 221, 219, 218, 217, 221, 221, 220, 216, 214, 216, 221, 225, 225, 226, 226, 224, 221, 216, 212, 209, 206, 207]
[234, 233, 228, 230, 231, 227, 222, 219, 220, 222, 230, 228, 226, 226, 229, 230, 230, 230, 225, 225, 224, 223, 223, 223, 223, 223, 225, 222, 217, 215, 216, 218, 219, 219, 214, 213, 211, 210, 211, 213, 216, 217, 220, 218, 215, 215, 216, 217, 217, 217, 211, 213, 215, 215, 213, 211, 210, 210, 216, 216, 217, 219, 221, 220, 216, 213, 211, 212, 210, 206, 208, 213, 218, 218, 219, 219, 217, 216, 216, 217, 218, 219, 221, 221, 220, 218, 217, 220, 224, 228, 223, 223, 223, 223, 222, 220, 218, 217, 220, 220]
[222, 222, 224, 227, 230, 230, 227, 224, 222, 222, 221, 221, 221, 224, 227, 228, 227, 226, 225, 224, 222, 220, 218, 218, 219, 220, 221, 219, 217, 216, 216, 218, 219, 220, 212, 210, 208, 207, 208, 210, 211, 211, 216, 214, 211, 209, 208, 209, 210, 210, 207, 211, 214, 214, 211, 209, 210, 212, 214, 213, 213, 214, 215, 214, 211, 209, 214, 217, 213, 205, 204, 212, 219, 221, 214, 216, 216, 213, 212, 214, 215, 214, 216, 216, 217, 217, 217, 217, 218, 219, 216, 216, 216, 216, 216, 217, 218, 218, 217, 216]
[218, 216, 213, 216, 220, 223, 222, 220, 217, 216, 210, 211, 214, 219, 224, 226, 225, 224, 223, 222, 221, 219, 219, 220, 221, 222, 219, 219, 218, 217, 217, 217, 218, 220, 217, 214, 210, 210, 212, 215, 216, 216, 218, 218, 217, 215, 214, 214, 215, 216, 214, 217, 220, 219, 216, 215, 217, 220, 218, 216, 215, 214, 215, 214, 212, 210, 214, 214, 208, 196, 189, 192, 200, 205, 207, 214, 216, 212, 209, 210, 208, 205, 206, 207, 209, 211, 210, 207, 203, 200, 204, 202, 201, 199, 198, 198, 198, 198, 190, 188]
[219, 217, 215, 216, 218, 220, 219, 216, 213, 211, 208, 209, 213, 218, 223, 225, 224, 222, 219, 219, 219, 220, 221, 223, 224, 225, 219, 221, 221, 220, 218, 217, 217, 218, 223, 217, 212, 211, 215, 219, 220, 220, 216, 219, 222, 222, 221, 220, 219, 220, 215, 216, 217, 216, 215, 214, 215, 217, 216, 213, 210, 209, 209, 209, 207, 206, 207, 202, 196, 185, 172, 164, 169, 179, 194, 204, 208, 201, 196, 197, 195, 190, 190, 190, 192, 194, 193, 189, 182, 177, 178, 176, 173, 170, 166, 164, 162, 161, 157, 155]
[219, 219, 222, 222, 221, 220, 219, 216, 213, 211, 208, 208, 210, 213, 216, 216, 214, 212, 214, 215, 217, 220, 222, 223, 224, 225, 222, 224, 225, 224, 219, 216, 216, 217, 212, 205, 197, 195, 198, 203, 206, 205, 199, 204, 209, 210, 206, 200, 196, 194, 188, 187, 187, 189, 192, 195, 195, 195, 196, 193, 189, 187, 187, 187, 186, 185, 184, 177, 176, 174, 159, 140, 142, 156, 172, 183, 186, 176, 171, 174, 174, 170, 169, 167, 166, 166, 166, 164, 158, 154, 150, 150, 148, 147, 145, 143, 141, 140, 141, 139]
[224, 227, 226, 224, 221, 219, 218, 217, 215, 213, 206, 205, 204, 205, 205, 204, 201, 198, 188, 190, 193, 196, 198, 200, 200, 200, 201, 204, 205, 203, 198, 193, 192, 193, 194, 185, 176, 172, 175, 180, 183, 183, 180, 185, 190, 189, 181, 171, 162, 158, 154, 152, 153, 158, 165, 171, 173, 172, 174, 171, 166, 163, 163, 163, 163, 162, 156, 150, 155, 162, 148, 124, 124, 142, 153, 164, 165, 154, 148, 154, 157, 154, 152, 148, 145, 143, 144, 144, 141, 138, 138, 139, 141, 142, 144, 144, 144, 144, 142, 139]
[219, 218, 212, 208, 203, 201, 201, 200, 197, 195, 192, 191, 190, 191, 190, 186, 179, 173, 170, 165, 165, 171, 173, 167, 163, 164, 175, 173, 170, 168, 167, 167, 169, 170, 167, 159, 150, 147, 151, 156, 157, 156, 152, 155, 158, 158, 154, 146, 137, 131, 131, 133, 136, 138, 140, 143, 147, 150, 148, 146, 147, 150, 149, 143, 141, 142, 136, 141, 145, 142, 133, 126, 126, 128, 142, 143, 140, 134, 132, 136, 140, 140, 136, 139, 142, 143, 142, 141, 141, 142, 137, 135, 135, 137, 137, 135, 138, 144, 136, 138]
[189, 188, 179, 174, 168, 165, 165, 166, 165, 163, 159, 158, 158, 159, 160, 158, 153, 149, 147, 144, 145, 150, 150, 145, 142, 143, 156, 154, 151, 149, 148, 148, 149, 150, 148, 143, 138, 136, 137, 139, 139, 137, 143, 144, 146, 146, 143, 137, 131, 127, 127, 129, 130, 130, 129, 130, 132, 134, 137, 135, 136, 138, 137, 132, 130, 131, 129, 134, 138, 134, 127, 123, 124, 128, 139, 141, 137, 131, 130, 134, 137, 137, 141, 144, 147, 147, 145, 143, 141, 141, 138, 134, 132, 132, 130, 129, 134, 140, 139, 140]
] | Jiankun Yang |
NS_PA_SS_06 | 401 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable SystemVerilog for a dot‐product module that computes A·B for two 3‐element vectors, according to the following spec:
1. Parameterization
- Each input element is an 8‐bit unsigned word.
- The output is an 18‐bit unsigned word.
2. Ports
```verilog
module model (
input logic [7:0] din, // serial input words: a1,a2,a3,b1,b2,b3
input logic clk, // clock signal
input logic resetn, // synchronous, active‐low reset
output logic [17:0] dout, // dot product result
output logic run // asserted for one cycle when dout is valid
);
Behavior
Input sequencing: On each rising edge of clk while resetn==1, sample din and store it in order a1→a2→a3→b1→b2→b3.
Dot‐product: After the 6th word (b3) is captured, in the same clock cycle assert run=1 and compute
dout = a1*b1 + a2*b2 + a3*b3;
as an 18‐bit unsigned sum.
Output timing:
When run=1, dout holds the new product.
In subsequent cycles (until the next 6 inputs), run=0 but dout retains its last value.
Reset: On resetn==0, clear all internal registers, set dout=0, and assert run=1 once (since 0·0=0).
Implementation hints
Use a 3‐entry shift‐register or counter to track which input you’re on.
Multiply and accumulate within one cycle after the 6th sample.
Ensure all operations are synchronous to clk.
Please produce clean, commented, synthesizable SystemVerilog that meets this specification.``` | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
LX_03 | 882 | Control Design | In vehicle dynamics, the \emph{quarter–car model} captures the vertical motion of a single wheel assembly and the corresponding section of chassis, using two masses (the sprung mass $M$ and unsprung mass $m$), interconnected by a suspension spring–damper and a tire spring. An \emph{active suspension} system adds a controllable actuator force $u$ between the sprung and unsprung masses, allowing real‑time adjustment of ride stiffness and damping. By rejecting road disturbances $y_R$ and shaping the chassis response, active control can greatly improve both \emph{ride comfort} and \emph{road holding}. Here, we linearize about the static equilibrium, normalize all displacements so that the resting positions are zero, and derive a linear time‑invariant state–space model suitable for controller design.
You can find a schematic of an active suspension system in the provided figure.
A state–space model in scientific units is given below, where $v_i = \dot x_i$.
The states $x_i$ have been normalized so that their nominal values are $0$.
\[
\frac{d}{dt}
\begin{bmatrix}
x_1\\
x_2\\
v_1\\
v_2
\end{bmatrix}
=
\begin{bmatrix}
0 & 0 & 1 & 0\\
0 & 0 & 0 & 1\\
-10 & 10 & -2 & 2\\
60 & -660 & 12 & -12
\end{bmatrix}
\begin{bmatrix}
x_1\\
x_2\\
v_1\\
v_2
\end{bmatrix}
+
\begin{bmatrix}
0\\
0\\
3.34\\
-20
\end{bmatrix}
u
+
\begin{bmatrix}
0\\
0\\
0\\
600
\end{bmatrix}
y_R
\]
\begin{enumerate}
\item Perform an open‑loop simulation of $x_1$ and $x_2$ for $0 \le t \le 20\,$s,
with
\[
x_1(0)=0.5\ \mathrm{m},\quad
x_2(0)=0\ \mathrm{m},\quad
v_1(0)=v_2(0)=0,\quad
u(t)=y_R(t)\equiv 0.
\]
Determine the values $p_1$ and $p_2$ of the two largest peaks in $x_1(t)$. Also, find the settling time $t_s$ defined as the time after which $|x_1(t)|<\SI{0.025}{m}$ holds for all subsequent $t$.
\item Repeat (1), but let $x_1(0)=\SI{0}{m}$ and $y_R$ be a square‐wave of amplitude $0.2\,$m
and fundamental frequency $0.2\,$Hz. Determine the number of peaks $N$ in $x_1(t)$ within one period, and find the value of the largest peak $p_m$.
\item Design a state‐feedback control law $K=[k1\ k2\ k3\ k4]$ for a comfortable ride, meeting the following requirements:
\begin{enumerate}
\item The DC gains of $X_1(s)/Y_R(s)$ and $X_2(s)/Y_R(s)$ remain $1$.
\item Achieve a critically damped closed‐loop response for $X_1(s)/Y_R(s)$.
\item Reduce the settling time to approximately half of the open‐loop value.
\item The car does not bottom out under the conditions of (1). In normalized states, this means that
\[
x_1(t)-x_2(t) > -0.5\ \mathrm{m}.
\]
\end{enumerate}
\end{enumerate} | Liujun Xu |
YX_02 | 781 | Mechanical Systems | ## Task Description
In this task, your goal is to produce local maps for a diesel engine calibration. You are provided with two global inputs: engine speed (N) and fuel mass (F), and four local inputs: injection (S), fuel pressure (P), variable geometry turbo rack position (G) and exhaust gas recirculation valve lift (E). Given the defined input ranges and physical constraints, you are required to perform structured sampling of the input space using the specified sampling strategy, ensuring representative and constraint-compliant coverage for subsequent modeling and calibration tasks.
### Task 1
First, for the global inputs: engine speed (N) and fuel mass (F), the maximum value of F changes as the value of N changes. You need to find the functional relationship between them.
The following information is given:
- At N = 1600, F <= 200.
- At N = 2000, F <= 175.
- Values between these two breakpoints are linearly interpolated.
Find the linear relationship between the maximum value of F and the value of N, and express their relationship in the format: F_max = a * N + b, where a, b are parameters.
### Task 2
After Task 1, you need to generate a **15 point Latin Hypercube Sampling (LHS)** design for the global inputs (N, F) based on the following specifications:
- Global Input Definitions:
- Engine speed (N):
- Range: [1600, 2200]
- Unit: rpm
- Fuel mass (F):
- Range: [20, 200]
- Unit: mg/stroke
- Physical Constraint:
- The maximum value of F has a linear relationship with the value of N, which you have gained from Task 1. When N is in the interval [1600, 2000], the sampling points you find need to satisfy this linear relationship. When N is not in the interval [1600, 2000], there are no constraints on F.
- Requirements:
- Use the LHS strategy to generate 15 design points.
- All sampled points must satisfy the constraint on F based on N.
### Task 3
Given a global operating point with engine speed N = 1900 rpm, calculate the **normalized speed factor** as: f = (N - 1600) / (2200 - 1600).
Use this factor f to determine input-dependent variable limits via **linear interpolation**:
- For the fuel pressure (P) limits:
- P_range = (1 − f) * [90, 120] + f * [110, 160]
- For the variable geometry turbo rack position (G) limits:
- G_range = (1 − f) * [0.2, 0.4] + f * [0.6, 0.9]
These bounds will be used for local sampling in the next task.
### Task 4
Based on the result from Task 2 and the given global inputs:
- Engine speed: N = 1900 rpm
- Fuel mass: F = 110 mg/stroke
Construct a **local design of experiments** using **Latin Hypercube Sampling (LHS)** over the following local inputs:
- Injection (S):
- Range: [-9, 3]
- Unit: deg
- Fuel pressure (P):
- Range: P_range computed from Task 2
- Unit: MPa
- Variable geometry turbo rack position (G):
- Range: G_range computed from Task 2
- Unit: ratio
- Exhaust gas recirculation valve lift (E):
- Range: [0.5, 5]
- Unit: mm
Your design must contain **30 local samples** that respect the variable-specific bounds defined above.
| Yaxin Li |
XG_12 | 484 | Control Design | ## Task Description
Consider the following plant with a resonance:
\[
G(s) = \frac{0.5}{s}\frac{169}{s^2 + 0.26s + 169},
\]
This plant has a resonance around 13 rad/sec. Assuming the desired loop bandwidth is $ \omega_{L} = 3 $ rad/sec. An initial loop shaping controller using controller gain and integral boost is given as:
- gain: $ K_g = \frac{1}{|G(j\omega_L)|}$,
- integral boost: $ K_i(s) = \frac{\beta_b s + \omega_L}{s \sqrt{\beta_b^2 + 1}}$ with $ \beta_b = \sqrt{10}$.
And the initial loop shaping controller is:
\[
C(s) = K_g \cdot K_i(s)
\]
### Task 1
Your first task is to obtain the explicit transfer function of the initial loop shaping controller. Please provide the complete transfer function of \( C(s) \) as part of your response in the form of numerator and denominator coefficients.
### Task 2
The initial design yeilds a unstable closed-loop system since there are additional gain crossings at 11.3 and 14.2 rad/sec. Your task is to build upon the initial controller, add a notch filter to attenuate the resonance. A notch filter with three parameters (\omega_n, \alpha_n, f_n) is given as:
\[
K_n(s) = \frac{s^2 + (f_n \omega_n \sqrt{\alpha_n})s + \omega_n^2}{s^2 + ((f_n \omega_n)/(\sqrt{\alpha_n}))s + \omega_n^2}.
\]
Now your goal is to determine the parameters of the notch filter to attenuate the resonance. Here are some information may help you design the notch filter:
- The resonance frequency is 13 rad/sec.
- The loop without notch filter has a magnitude of 21 dB near the resonance frequency.
You need to design the notch filter to achieve the following requirements:
- The closed-loop system is stable.
- The closed-loop system should have a phase margin of at least 60 degrees.
- The closed-loop system should have a gain margin of at least 2 dB.
| Xingang Guo |
NS_PA_SS_07 | 453 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable Verilog for a simple 1-read/1-write register file (RF) implemented as a multidimensional array of flip-flops, according to the following spec:
1. Architecture
- The RF has 8 entries, each 8 bits wide.
- Internally use `reg [7:0] mem [0:7];` or equivalent to model the array of flip-flops.
2. Ports
```verilog
module model (
input wire [7:0] din, // data input for write
input wire [2:0] addr, // address for read or write
input wire wr, // write-enable
input wire rd, // read-enable
input wire clk, // clock
input wire resetn, // synchronous, active-low reset
output reg [7:0] dout, // data output for read
output reg error // error flag for invalid op
);
Behavior
Reset (resetn == 0):
Clear all memory entries (optional) or implicitly treat as “unwritten.”
Drive dout = 0, error = 0.
One operation per cycle on rising edge of clk:
If both wr and rd are high → invalid:
error = 1, dout = 0.
Else if wr is high (wr == 1 && rd == 0):
Write din into mem[addr].
error = 0, dout = 0.
Else if rd is high (rd == 1 && wr == 0):
If the addressed entry has been written before (or track via a valid bit):
dout = mem[addr], error = 0.
Else (unwritten address):
dout = 0, error = 0.
Else (no operation):
dout = 0, error = 0.
Implementation notes
You may use a reg valid [0:7]; array to track which entries have been written.
All logic must be synchronous to clk.
Keep the design simple and fully synthesizable.
Produce clean, commented Verilog that meets this specification. | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
LX_02 | 645 | Control Design | Magnetic levitation systems suspend a ferromagnetic ball beneath an electromagnet by balancing gravitational and magnetic forces. The fundamental nonlinear dynamics are given by
\[
m\ddot y=mg-\frac{kI^2}{y^2},
\]
where \(y(t)\) is the ball’s vertical displacement and \(I(t)\) the coil current. Around an operating point \((y_0,I_0)\), a first‑order Taylor expansion and appropriate nondimensionalization yield a normalized second‑order linear model suitable for controller design. In this task, you are required to analyze that linearized model and then validate the controller on the original nonlinear dynamics.
To simplify the problem, consider the following equation:
\[
\ddot y=1-\frac{u^2}{y^2}.
\]
\begin{enumerate}
\item Linearize the nonlinear equation around the operating point $y = 1, u=1$. You need to give $a_{11},a_{12},a_{21},a_{22},b_{11},b_{21}$, where
\[\dot{x}=\begin{bmatrix}
a_{11}&a_{12}\\
a_{21}&a_{22}
\end{bmatrix}x+\begin{bmatrix}
b_{11}\\
b_{21}
\end{bmatrix}u.\]
\item Determine a feedback gain $K=(k1\ k2)$ that places the closed‑loop poles at \(-1\pm j1\).
\item The ball position $x_1$ can be measured using a photocell, but the velocity $x_2$ is more difficult to obtain. Therefore, suppose the output is $y=x_1$. Design a full-order observer $L = (l1\ l2)'$ having eigenvalues at $-5, -6$, and use the observer feedback to produce closed-loop eigenvalues at $-1\pm j1, -5, -6$.
\item Simulate your controller for the nonlinear model
\[
\ddot{y} = 1 -\frac{u^2}{y^2},
\]
with nominal equilibrium \(y_0=u_0=1\). With the initial condition $(x(0),\dot{x}(0))$ set as $(1,0)$ for the observer, you need to identify whether the following initial conditions $(x(0),\dot{x}(0))$ for the real system are within the region of asymptotic stability -- the set of initial states from which the closed‑loop system converges to the equilibrium. You need to use $1$ as yes and $0$ as no.
\begin{enumerate}
\item $(2, 2)$
\item $(2.5, 0)$
\item $(0.8, 0)$
\item $(0.9, -1)$
\end{enumerate}
\end{enumerate} | Liujun Xu |
JY_03 | 154 | Signal Processing | You are now working with polarization images.
Given the Raw image is shaped 100x100
you decided to create the AoLP and DoLP images with the same resolution( 100x100 ) as the original raw frame
However, after you gotten the frames, Degree0, Degree45, Degree90 and Degree135, Degree0 is corrupted, the pattern shown a checker board with alternating zeros.
You task is the following:
1, Given the array for Degree0.
Degree0 = [[229, 0, 195, 0, 209, 0, 199, 0, 193, 0, 194, 0, 201, 0, 188, 0, 203, 0, 181, 0, 195, 0, 187, 0, 135, 0, 79, 0, 35, 0, 22, 0, 14, 0, 10, 0, 43, 0, 58, 0, 73, 0, 72, 0, 75, 0, 105, 0, 137, 0], [0, 179, 0, 245, 0, 215, 0, 195, 0, 218, 0, 227, 0, 207, 0, 186, 0, 201, 0, 199, 0, 178, 0, 181, 0, 113, 0, 47, 0, 29, 0, 32, 0, 19, 0, 31, 0, 26, 0, 62, 0, 76, 0, 68, 0, 80, 0, 134, 0, 182], [197, 0, 191, 0, 211, 0, 222, 0, 197, 0, 195, 0, 188, 0, 227, 0, 177, 0, 199, 0, 211, 0, 227, 0, 158, 0, 59, 0, 22, 0, 30, 0, 23, 0, 31, 0, 18, 0, 50, 0, 56, 0, 89, 0, 69, 0, 113, 0, 160, 0], [0, 192, 0, 215, 0, 195, 0, 163, 0, 226, 0, 191, 0, 204, 0, 182, 0, 197, 0, 189, 0, 202, 0, 187, 0, 129, 0, 60, 0, 25, 0, 26, 0, 32, 0, 25, 0, 47, 0, 55, 0, 76, 0, 52, 0, 65, 0, 154, 0, 168], [182, 0, 187, 0, 211, 0, 207, 0, 198, 0, 211, 0, 193, 0, 197, 0, 220, 0, 183, 0, 213, 0, 171, 0, 131, 0, 88, 0, 34, 0, 29, 0, 15, 0, 26, 0, 30, 0, 44, 0, 73, 0, 51, 0, 36, 0, 120, 0, 156, 0], [0, 195, 0, 204, 0, 194, 0, 205, 0, 195, 0, 235, 0, 190, 0, 216, 0, 201, 0, 183, 0, 188, 0, 153, 0, 108, 0, 73, 0, 36, 0, 30, 0, 32, 0, 30, 0, 25, 0, 22, 0, 51, 0, 46, 0, 81, 0, 107, 0, 166], [199, 0, 200, 0, 194, 0, 209, 0, 216, 0, 216, 0, 195, 0, 197, 0, 198, 0, 215, 0, 193, 0, 174, 0, 112, 0, 93, 0, 56, 0, 21, 0, 25, 0, 25, 0, 23, 0, 21, 0, 22, 0, 36, 0, 78, 0, 103, 0, 134, 0], [0, 207, 0, 200, 0, 197, 0, 204, 0, 211, 0, 207, 0, 184, 0, 191, 0, 213, 0, 213, 0, 188, 0, 120, 0, 85, 0, 43, 0, 35, 0, 29, 0, 32, 0, 22, 0, 18, 0, 35, 0, 10, 0, 50, 0, 55, 0, 86, 0, 131], [186, 0, 220, 0, 214, 0, 204, 0, 195, 0, 209, 0, 210, 0, 201, 0, 188, 0, 183, 0, 207, 0, 187, 0, 113, 0, 85, 0, 43, 0, 34, 0, 39, 0, 13, 0, 28, 0, 27, 0, 55, 0, 23, 0, 40, 0, 62, 0, 101, 0], [0, 201, 0, 220, 0, 210, 0, 213, 0, 219, 0, 185, 0, 215, 0, 190, 0, 202, 0, 197, 0, 205, 0, 147, 0, 113, 0, 58, 0, 36, 0, 16, 0, 7, 0, 6, 0, 40, 0, 25, 0, 23, 0, 18, 0, 31, 0, 52, 0, 93], [189, 0, 182, 0, 193, 0, 186, 0, 201, 0, 222, 0, 195, 0, 203, 0, 193, 0, 197, 0, 207, 0, 185, 0, 139, 0, 88, 0, 36, 0, 25, 0, 17, 0, 6, 0, 19, 0, 28, 0, 13, 0, 15, 0, 13, 0, 58, 0, 92, 0], [0, 204, 0, 214, 0, 198, 0, 208, 0, 187, 0, 199, 0, 203, 0, 211, 0, 189, 0, 170, 0, 184, 0, 169, 0, 101, 0, 28, 0, 28, 0, 36, 0, 23, 0, 31, 0, 9, 0, 19, 0, 25, 0, 19, 0, 54, 0, 73, 0, 93], [217, 0, 209, 0, 206, 0, 181, 0, 202, 0, 210, 0, 193, 0, 183, 0, 188, 0, 174, 0, 184, 0, 175, 0, 135, 0, 86, 0, 36, 0, 47, 0, 46, 0, 24, 0, 21, 0, 13, 0, 27, 0, 44, 0, 43, 0, 67, 0, 77, 0], [0, 192, 0, 206, 0, 200, 0, 211, 0, 185, 0, 195, 0, 231, 0, 214, 0, 211, 0, 195, 0, 156, 0, 136, 0, 121, 0, 83, 0, 38, 0, 14, 0, 21, 0, 30, 0, 26, 0, 8, 0, 13, 0, 22, 0, 54, 0, 52, 0, 77], [207, 0, 204, 0, 216, 0, 192, 0, 226, 0, 184, 0, 216, 0, 206, 0, 186, 0, 198, 0, 200, 0, 147, 0, 147, 0, 115, 0, 77, 0, 28, 0, 6, 0, 23, 0, 36, 0, 40, 0, 31, 0, 22, 0, 26, 0, 46, 0, 67, 0], [0, 209, 0, 216, 0, 191, 0, 213, 0, 195, 0, 202, 0, 221, 0, 201, 0, 184, 0, 193, 0, 193, 0, 121, 0, 126, 0, 99, 0, 40, 0, 21, 0, 16, 0, 12, 0, 19, 0, 20, 0, 23, 0, 19, 0, 35, 0, 56, 0, 69], [213, 0, 215, 0, 204, 0, 217, 0, 204, 0, 203, 0, 216, 0, 208, 0, 202, 0, 172, 0, 189, 0, 129, 0, 134, 0, 118, 0, 52, 0, 31, 0, 15, 0, 11, 0, 15, 0, 15, 0, 29, 0, 11, 0, 39, 0, 54, 0, 47, 0], [0, 192, 0, 221, 0, 236, 0, 209, 0, 198, 0, 227, 0, 222, 0, 183, 0, 185, 0, 174, 0, 174, 0, 156, 0, 113, 0, 80, 0, 55, 0, 32, 0, 25, 0, 30, 0, 18, 0, 10, 0, 18, 0, 22, 0, 26, 0, 35, 0, 70], [223, 0, 206, 0, 204, 0, 195, 0, 172, 0, 221, 0, 205, 0, 188, 0, 209, 0, 192, 0, 202, 0, 185, 0, 170, 0, 109, 0, 67, 0, 30, 0, 23, 0, 25, 0, 14, 0, 35, 0, 27, 0, 25, 0, 25, 0, 31, 0, 56, 0], [0, 193, 0, 204, 0, 188, 0, 215, 0, 217, 0, 219, 0, 213, 0, 199, 0, 161, 0, 210, 0, 195, 0, 175, 0, 172, 0, 64, 0, 51, 0, 48, 0, 28, 0, 10, 0, 14, 0, 34, 0, 7, 0, 29, 0, 23, 0, 51, 0, 73], [206, 0, 195, 0, 208, 0, 191, 0, 232, 0, 208, 0, 171, 0, 221, 0, 184, 0, 213, 0, 201, 0, 183, 0, 178, 0, 144, 0, 81, 0, 51, 0, 42, 0, 14, 0, 21, 0, 25, 0, 18, 0, 13, 0, 34, 0, 30, 0, 63, 0], [0, 209, 0, 227, 0, 199, 0, 205, 0, 183, 0, 203, 0, 189, 0, 201, 0, 217, 0, 217, 0, 197, 0, 179, 0, 173, 0, 134, 0, 60, 0, 44, 0, 24, 0, 25, 0, 19, 0, 18, 0, 8, 0, 30, 0, 23, 0, 42, 0, 73], [255, 0, 224, 0, 211, 0, 194, 0, 201, 0, 215, 0, 222, 0, 213, 0, 195, 0, 205, 0, 211, 0, 185, 0, 193, 0, 163, 0, 99, 0, 60, 0, 34, 0, 32, 0, 28, 0, 23, 0, 18, 0, 19, 0, 35, 0, 29, 0, 44, 0], [0, 213, 0, 200, 0, 195, 0, 192, 0, 203, 0, 184, 0, 209, 0, 191, 0, 193, 0, 218, 0, 221, 0, 171, 0, 159, 0, 147, 0, 65, 0, 47, 0, 22, 0, 28, 0, 7, 0, 7, 0, 18, 0, 23, 0, 6, 0, 43, 0, 52], [194, 0, 217, 0, 190, 0, 227, 0, 209, 0, 195, 0, 211, 0, 193, 0, 190, 0, 237, 0, 204, 0, 207, 0, 175, 0, 172, 0, 147, 0, 80, 0, 25, 0, 28, 0, 14, 0, 18, 0, 16, 0, 28, 0, 27, 0, 18, 0, 32, 0], [0, 211, 0, 221, 0, 211, 0, 190, 0, 234, 0, 211, 0, 203, 0, 176, 0, 192, 0, 176, 0, 207, 0, 179, 0, 172, 0, 162, 0, 126, 0, 71, 0, 48, 0, 23, 0, 26, 0, 12, 0, 24, 0, 27, 0, 25, 0, 40, 0, 58], [197, 0, 205, 0, 219, 0, 211, 0, 211, 0, 225, 0, 204, 0, 226, 0, 227, 0, 205, 0, 185, 0, 185, 0, 178, 0, 195, 0, 178, 0, 109, 0, 32, 0, 25, 0, 30, 0, 15, 0, 11, 0, 22, 0, 17, 0, 40, 0, 70, 0], [0, 195, 0, 211, 0, 187, 0, 226, 0, 181, 0, 207, 0, 224, 0, 186, 0, 211, 0, 230, 0, 211, 0, 193, 0, 171, 0, 163, 0, 167, 0, 96, 0, 32, 0, 32, 0, 8, 0, 31, 0, 27, 0, 26, 0, 23, 0, 31, 0, 70], [215, 0, 224, 0, 216, 0, 211, 0, 203, 0, 216, 0, 205, 0, 203, 0, 208, 0, 219, 0, 204, 0, 204, 0, 175, 0, 197, 0, 172, 0, 141, 0, 58, 0, 46, 0, 23, 0, 24, 0, 21, 0, 19, 0, 17, 0, 22, 0, 48, 0], [0, 199, 0, 213, 0, 227, 0, 216, 0, 213, 0, 226, 0, 223, 0, 227, 0, 194, 0, 219, 0, 204, 0, 201, 0, 171, 0, 176, 0, 156, 0, 118, 0, 38, 0, 30, 0, 14, 0, 23, 0, 32, 0, 23, 0, 10, 0, 24, 0, 59], [202, 0, 205, 0, 191, 0, 213, 0, 194, 0, 208, 0, 220, 0, 213, 0, 204, 0, 203, 0, 208, 0, 200, 0, 197, 0, 195, 0, 181, 0, 163, 0, 92, 0, 43, 0, 23, 0, 20, 0, 9, 0, 23, 0, 16, 0, 20, 0, 36, 0], [0, 195, 0, 173, 0, 230, 0, 193, 0, 187, 0, 195, 0, 221, 0, 207, 0, 211, 0, 205, 0, 191, 0, 185, 0, 211, 0, 198, 0, 189, 0, 111, 0, 51, 0, 24, 0, 19, 0, 13, 0, 17, 0, 36, 0, 32, 0, 17, 0, 56], [187, 0, 215, 0, 214, 0, 194, 0, 202, 0, 234, 0, 187, 0, 219, 0, 200, 0, 217, 0, 224, 0, 218, 0, 203, 0, 193, 0, 167, 0, 150, 0, 56, 0, 29, 0, 13, 0, 26, 0, 24, 0, 26, 0, 10, 0, 13, 0, 38, 0], [0, 211, 0, 213, 0, 197, 0, 207, 0, 195, 0, 199, 0, 195, 0, 188, 0, 183, 0, 198, 0, 192, 0, 179, 0, 179, 0, 174, 0, 188, 0, 111, 0, 40, 0, 10, 0, 24, 0, 23, 0, 18, 0, 0, 0, 26, 0, 24, 0, 19], [216, 0, 211, 0, 197, 0, 206, 0, 220, 0, 202, 0, 195, 0, 193, 0, 205, 0, 222, 0, 202, 0, 188, 0, 189, 0, 181, 0, 168, 0, 137, 0, 99, 0, 35, 0, 21, 0, 32, 0, 17, 0, 23, 0, 15, 0, 25, 0, 13, 0], [0, 170, 0, 237, 0, 205, 0, 203, 0, 238, 0, 216, 0, 199, 0, 214, 0, 192, 0, 215, 0, 194, 0, 213, 0, 185, 0, 175, 0, 188, 0, 125, 0, 65, 0, 42, 0, 26, 0, 17, 0, 15, 0, 35, 0, 16, 0, 26, 0, 28], [197, 0, 207, 0, 230, 0, 187, 0, 191, 0, 195, 0, 198, 0, 195, 0, 192, 0, 206, 0, 199, 0, 183, 0, 221, 0, 179, 0, 171, 0, 161, 0, 96, 0, 60, 0, 35, 0, 18, 0, 27, 0, 13, 0, 27, 0, 14, 0, 23, 0], [0, 206, 0, 220, 0, 215, 0, 222, 0, 218, 0, 195, 0, 214, 0, 205, 0, 215, 0, 197, 0, 195, 0, 205, 0, 185, 0, 195, 0, 172, 0, 152, 0, 91, 0, 31, 0, 18, 0, 12, 0, 36, 0, 15, 0, 30, 0, 28, 0, 31], [219, 0, 234, 0, 224, 0, 188, 0, 211, 0, 195, 0, 188, 0, 178, 0, 185, 0, 205, 0, 225, 0, 216, 0, 221, 0, 195, 0, 175, 0, 179, 0, 146, 0, 64, 0, 31, 0, 28, 0, 25, 0, 27, 0, 14, 0, 3, 0, 36, 0], [0, 206, 0, 237, 0, 184, 0, 184, 0, 204, 0, 214, 0, 234, 0, 178, 0, 187, 0, 211, 0, 204, 0, 189, 0, 187, 0, 189, 0, 208, 0, 165, 0, 107, 0, 46, 0, 28, 0, 26, 0, 17, 0, 22, 0, 24, 0, 17, 0, 15], [218, 0, 195, 0, 210, 0, 199, 0, 188, 0, 203, 0, 200, 0, 221, 0, 201, 0, 179, 0, 189, 0, 189, 0, 187, 0, 170, 0, 187, 0, 163, 0, 146, 0, 69, 0, 39, 0, 10, 0, 13, 0, 10, 0, 17, 0, 22, 0, 31, 0], [0, 208, 0, 199, 0, 218, 0, 222, 0, 207, 0, 201, 0, 215, 0, 184, 0, 170, 0, 162, 0, 191, 0, 162, 0, 178, 0, 198, 0, 191, 0, 179, 0, 121, 0, 38, 0, 26, 0, 1, 0, 17, 0, 21, 0, 13, 0, 11, 0, 32], [229, 0, 184, 0, 203, 0, 186, 0, 194, 0, 203, 0, 207, 0, 208, 0, 195, 0, 170, 0, 197, 0, 149, 0, 168, 0, 157, 0, 162, 0, 176, 0, 147, 0, 60, 0, 17, 0, 18, 0, 12, 0, 24, 0, 13, 0, 30, 0, 19, 0], [0, 200, 0, 201, 0, 188, 0, 233, 0, 216, 0, 184, 0, 195, 0, 178, 0, 157, 0, 179, 0, 154, 0, 105, 0, 125, 0, 137, 0, 163, 0, 143, 0, 65, 0, 52, 0, 36, 0, 7, 0, 27, 0, 22, 0, 29, 0, 26, 0, 14], [227, 0, 195, 0, 211, 0, 201, 0, 199, 0, 195, 0, 199, 0, 176, 0, 151, 0, 147, 0, 162, 0, 129, 0, 121, 0, 113, 0, 117, 0, 116, 0, 131, 0, 62, 0, 19, 0, 24, 0, 27, 0, 18, 0, 40, 0, 16, 0, 12, 0], [0, 200, 0, 217, 0, 204, 0, 222, 0, 179, 0, 188, 0, 189, 0, 190, 0, 117, 0, 121, 0, 142, 0, 116, 0, 71, 0, 105, 0, 110, 0, 112, 0, 94, 0, 68, 0, 32, 0, 19, 0, 29, 0, 11, 0, 11, 0, 15, 0, 26], [220, 0, 163, 0, 190, 0, 224, 0, 209, 0, 203, 0, 165, 0, 203, 0, 160, 0, 119, 0, 121, 0, 123, 0, 75, 0, 109, 0, 84, 0, 80, 0, 83, 0, 63, 0, 21, 0, 29, 0, 23, 0, 23, 0, 15, 0, 10, 0, 19, 0], [0, 203, 0, 188, 0, 211, 0, 220, 0, 211, 0, 183, 0, 200, 0, 171, 0, 131, 0, 85, 0, 97, 0, 107, 0, 77, 0, 85, 0, 70, 0, 92, 0, 67, 0, 69, 0, 21, 0, 13, 0, 16, 0, 13, 0, 16, 0, 27, 0, 22], [199, 0, 198, 0, 209, 0, 216, 0, 189, 0, 171, 0, 193, 0, 185, 0, 139, 0, 99, 0, 59, 0, 84, 0, 63, 0, 60, 0, 62, 0, 73, 0, 63, 0, 51, 0, 52, 0, 22, 0, 0, 0, 23, 0, 25, 0, 21, 0, 13, 0], [0, 189, 0, 201, 0, 206, 0, 218, 0, 195, 0, 155, 0, 125, 0, 113, 0, 83, 0, 52, 0, 58, 0, 60, 0, 36, 0, 48, 0, 42, 0, 59, 0, 65, 0, 44, 0, 17, 0, 15, 0, 2, 0, 25, 0, 27, 0, 25, 0, 22]]
2, Design a mathematical kernel that mimics linear interpolation to fill the values that is zero for the Degree0, make sure the center of the kernel is 1
3, the Aolp and DoLP image will also be tested as a metrics to the kernel score
| Jiankun Yang |
NS_PA_SS_09 | 222 | Digital Hardware Design | You are a hardware‐design expert. Write synthesizable SystemVerilog for a parameterized Gray‐to‐binary converter that maps an N-bit Gray code value to its binary index, according to the following spec:
1. Parameterization
- parameter WIDTH = 8;
2. Ports
```verilog
module model #(
parameter WIDTH = 8
) (
input logic [WIDTH-1:0] gray, // N-bit Gray code input
output logic [WIDTH-1:0] bin // N-bit binary index output
);
Behavior
Combinational conversion:
bin[WIDTH-1] = gray[WIDTH-1];
For i from WIDTH-2 down to 0:
bin[i] = bin[i+1] ^ gray[i];
No clock or reset—purely combinational logic.
Implementation notes
You may use a generate–for loop or bitwise reduction to implement the XOR cascade.
Ensure fully synthesizable, zero-latency logic.
Please produce clean, commented, synthesizable SystemVerilog that meets this specification. | Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal |
YX_03 | 2,304 | Signal Processing | ## Task Description
In this task, you should evaluate the detection performance of an S-band radar system operating at 3 GHz. Across 14 tasks, you will calculate key metrics such as available and required SNR, integration gain, fluctuation loss, MTI and CFAR losses, beam and scan-related losses, and the overall effective detectability factor. Results should be expressed in dB or km with proper rounding and error estimation.
### Task 1
Given an S-band airport surveillance radar operating at the frequency of 3 GHz. The peak transmit power is 0.2 MW, the transmit and receive antenna gain is 34 dB, the pulse duration is 11 microseconds, and the noise figure is 4.1 dB. Assume the radar is required to detect a target with 1 m^2 RCS at the maximum range R_m of 100 km. Assume no losses, that is L = 0 dB. Calculate the available SNR at the required maximum range of 100 km.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 2
Compute the required SNR for a single pulse received from a steady target by a square-law detector, assuming the desired probability of detection Pd = 0.9 and the maximum acceptable probability of false alarm Pfa = 1e-6.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 3
Assuming Pd = 0.9 and Pfa = 1e-6, calculate the required SNR for a single pulse received from a Swerling 1 fluctuating target.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 4
To lower the detectability factor, we can perform pulse integration. Calculate the required SNR for N = 10 noncoherently integrated pulses received from a Swerling 1 fluctuating target, assuming Pd = 0.9 and Pfa = 1e-6.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 5
The integration gain is the difference between the SNR required to detect a steady target using a single pulse and the SNR required to detect a steady target using N pulses. Given Pd = 0.9 and Pfa = 1e-6, N = 10, calculate the integration gain.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 6
The fluctuation loss is the difference between the SNR required to detect a fluctuating target and the SNR required to detect a steady target. Given Pd = 0.9 and Pfa = 1e-6, using 10 pulses, calculate the fluctuation loss.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 7
Using your result of Task 4 as the minimum required SNR, and the same conditions as in Task 1 (An S-band airport surveillance radar is operating at the frequency of 3 GHz. The peak transmit power is 0.2 MW, the transmit and receive antenna gain is 34 dB, the pulse duration is 11 microseconds, and the noise figure is 4.1 dB. The radar is required to detect a target with 1 m^2 RCS.), evaluate the actual maximum range of the system.
Express the result in km, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 8
Pulse radar systems turn off their receivers during the pulse transmission. Thus, the target echoes arriving from the ranges within one pulse length from the radar or within one pulse length around the unambiguous range will be eclipsed by the transmitted pulse resulting in only a fraction of the pulse being received and processed.
Given the radar system with the pulse width of 11 microseconds, calcuate the closest range from which a full pulse can be received, Rmin.
Express the result in km, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 9
Eclipsing effect can also be observed for the targets located at or near the multiples of the unambiguous range. Assuming the pulse repetition frequency is 1350 Hz, calculate the unambiguous range of the system.
Express the result in km, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 10
Assume the radar system employs an electronically steered phased array to perform scanning. Using the phased array antenna will cause an increase in the required SNR due to two effects: 1. beam broadening due to the reduced projected array area in the beam direction, and 2. reduction of the effective aperture area of the individual array elements at off-broadside angles. To account for these effects, add the scan sector loss to the detectability factor. Assume that the system scans only in the azimuth dimension and the scan sector spans from –60 to 60 degrees. Assume the target is a fluctuating target. Given Pd = 0.9 and Pfa = 1e-6, N = 10, compute the resultant loss.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain four decimal places. Round your result instead of truncating.
### Task 11
Moving target indicator (MTI) is a process of rejecting fixed or slowly moving clutter while passing echoes from targets moving with significant velocities. Typical MTI uses 2, 3, or 4-pulse canceller that implements a high-pass filter to reject echoes with low Doppler shifts. Passing the received signal through the MTI pulse canceller introduces correlation between the noise samples. This in turn reduces the total number of independent noise samples available for integration, resulting in MTI noise correlation loss. Additionally, the MTI canceller significantly suppresses targets with velocities close to the nulls of its frequency response, causing an additional MTI velocity response loss.
Assume the target is a fluctuating target. Given Pd = 0.9 and Pfa = 1e-6, N = 10. Assuming a 2-pulse canceller is used, calculate these two components of the MTI loss, the MTI noise correlation loss Lmti_a and the MTI velocity response loss Lmti_b.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain four decimal places. Round your result instead of truncating.
### Task 12
Binary integration is a suboptimal noncoherent integration technique also known as the M-of-N integration. If M out of N received pulses exceed a predetermined threshold, a target is declared to be present. The binary integrator is a relatively simple automatic detector and is less sensitive to the effects of a single large interference pulse that might exist along with the target echoes. Therefore, the binary integrator is more robust when the background noise or clutter is non-Gaussian. Since the binary integration is a suboptimal technique, it results in a binary integration loss compared to optimal noncoherent integration. The optimal value of M is not a sensitive selection and it can be quite different from the optimum without significant penalty resulting in the binary integration loss being lower than 1.4 dB.
Given Pd = 0.9 and Pfa = 1e-6. Calculate the binary integration loss when N is 10 and M is set to 6.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain four decimal places. Round your result instead of truncating.
### Task 13
Constant false alarm rate (CFAR) detector is used to maintain an approximately constant rate of false target detections when the noise or the interference levels vary. Since CFAR averages a finite number of reference cells to estimate the noise level, the estimates are subject to an error which leads to a CFAR loss. CFAR loss is an increase in the SNR required to achieve a desired detection performance using CFAR when the noise levels are unknown compared to a fixed threshold with a known noise level.
Calculate the CFAR loss assuming that Pfa = 1e-6 and total 120 cells are used for cell-averaging CFAR.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain four decimal places. Round your result instead of truncating.
### Task 14
The detectability factor reflects the minimum SNR required to detect a target under ideal conditions. However, practical radar systems experience additional signal processing and scanning losses.
Using the baseline detectability factor for a steady target with a single pulse from Task 2, and incorporating the following computed components:
- Integration gain (Task 5)
- Fluctuation loss (Task 6)
- Beam shape loss = 1.2338 dB
- Scan sector loss (Task 10)
- MTI noise correlation loss and velocity response loss (Task 11)
- Binary integration loss (Task 12)
- CFAR loss (Task 13)
Calculate the effective detectability factor by appropriately adjusting the baseline with gains and adding all losses.
Express the result in dB, and please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
### Task 15
Based on the effective detectability factor calculated in Task 14, evaluate whether the radar system described in Task 1 — an S-band airport surveillance radar operating at 3 GHz with 0.2 MW peak transmit power, 34 dB antenna gain (Tx/Rx), 11 microseconds pulse duration, and a 4.1 dB noise figure — is capable of detecting a 1 m^2 RCS target at 100 km with Pd = 0.9 and Pfa = 1e-6.
Compare the available SNR from Task 1 with the required detectability factor from Task 14, and conclude whether the system satisfies the performance requirement.
If the system meets the requirement, return 1; if it does not, return 0.
| Yaxin Li |
XG_13 | 273 | Control Design | ## Background
Control systems play a critical role in stabilizing and regulating the behavior of dynamic systems, such as robotic arms and autonomous vehicles. In this task, you will design a proportional-integral-derivative (PID) controller for a mass-spring-damper system. The system is described by the following physical parameters:
- Mass: $m = 1\, \text{kg}$
- Damping coefficient: $b = 10\, \text{N s/m}$
- Spring constant: $k = 20\, \text{N/m}$
- External force: $F = 1\, \text{N}$
An illustrative diagram is provided to help visualize the system.
## Task Description
Please design a PID controller for the given mass-spring-damper system, such that the closed-loop system satisfies the following performance requirements:
- **Settling time:** $T_s < 0.2\, \text{seconds}$
- **Overshoot:** $M_p < 5\%$
- **Steady-state error:** Zero
**Note:** You may choose to implement a simplified controller by setting one or more of the PID parameters (i.e., $K_i$ or $K_d$) to zero, effectively designing a PI, PD, or P controller as needed.
| Xingang Guo |
XG_07 | 1,361 | Control Design | ## Task Description
In this task, you are required to design a H-infinity controller for an active suspension system using hinfsyn command in MATLAB in robust control toolbox. Conventional passive suspensions use a spring and damper between the car body and wheel assembly. The spring-damper characteristics are selected to emphasize one of several conflicting objectives such as passenger comfort, road handling, and suspension deflection. Active suspensions allow the designer to balance these objectives using a feedback-controller hydraulic actuator between the chassis and wheel assembly. In this task, we use a quarter-car model of the active suspension system (see in the attached figure). The mass m_b (in kilograms) represents the car chassis (body) and the mass m_w (in kilograms) represents the wheel assembly. The spring k_s and damper b_s represent the passive spring and shock absorber placed between the car body and the wheel assembly. The spring k_t models the compressibility of the pneumatic tire. The variables x_b, x_w, and r (all in meters) are the body travel, wheel travel, and road disturbance, respectively. The force f_s (in kiloNewtons) applied between the body and wheel assembly is controlled by feedback and represents the active component of the suspension system.
### Task 1
With the state vector: (x_1, x_2, x_3, x_4) = (x_b, \dot{x}_b, x_w, \dot{x}_w), the linearlized state-space model of the quarter-car active suspension system is given by:
\begin{equation}
\dot{x}_1 &= x_2
\dot{x}_2 &= -(1/m_b)(k_s(x_1-x_3)+b_s(x_2-x_4)-10^3f_s)
\dot{x}_3 &= x_4
\dot{x}_4 &= (1/m_w)(k_s(x_1-x_3)+b_s(x_2-x_4)-k_t(x_3-r)-10^3f_s)
\end{equation}
Your first task is to derive the numerical values of the state space matrices (A, B) using the above equations with the following parameters:
- m_b = 300 kg
- m_w = 60 kg
- k_s = 16000 N/m
- b_s = 1000 N/m/s
- k_t = 190000 N/m
### Task 2
Your second task is to design a H-infinity controller for the active suspension system using hinfsyn command in MATLAB in robust control toolbox. Consider that the state space matrices are given as (A, B, C, D) with A and B defined in Task 1 and C and D defiend as:
C = [1 0 0 0; 1 0 -1 0; A(2,:)];
D = [0 0; 0 0; B(2,:)];
The following MATLAB code is given to you as a baseline assuming the correct state space matrices:
<code_block>
qcar = ss(A,B,C,D);
qcar.StateName = {'body travel (m)';'body vel (m/s)';...
'wheel travel (m)';'wheel vel (m/s)'};
qcar.InputName = {'r';'fs'};
qcar.OutputName = {'xb';'sd';'ab'};
% Nominal Actuator dynamics
ActNom = tf(1,[1/60 1]);
ActNom.InputName = 'u';
ActNom.OutputName = 'fs';
%% Design Setup
Wroad = ss(0.07);
Wroad.u = 'd';
Wroad.y = 'r';
Wact = 0.8*tf([1 50],[1 500]);
Wact.u = 'u';
Wact.y = 'e1';
HandlingTarget = 0.04 * tf([1/8 1],[1/80 1]);
ComfortTarget = 0.4 * tf([1/0.45 1],[1/150 1]);
beta = 0.01;
Wsd = beta/HandlingTarget;
Wsd.u = 'sd';
Wsd.y = 'e3';
Wab = (1-beta)/ ComfortTarget;
Wab.u = 'ab';
Wab.y = 'e2';
%% Apply Design using hinfsyn
sdmeas = sumblk('y1 = sd');
abmeas = sumblk('y2 = ab');
ICinputs = {'d';'u'};
ICoutputs = {'e1';'e2';'e3';'y1';'y2'};
qcaric = connect(qcar,ActNom,Wroad,Wact,Wab,Wsd,sdmeas,abmeas,ICinputs,ICoutputs);
ncont = 1; % one control signal, u
nmeas = 2; % two measurement signals, sd and ab
K = ss(zeros(ncont,nmeas));
[K,~,gamma] = hinfsyn(qcaric,nmeas,ncont);
K.u = {'sd','ab'}; K.y = 'u';
CL = connect(qcar,ActNom,K,'r',{'xb';'sd';'ab'});
% Road disturbance
t = 0:0.0025:1;
roaddist = zeros(size(t));
roaddist(1:101) = 0.025*(1-cos(8*pi*t(1:101)));
% Simulate
p1 = lsim(qcar(:,1),roaddist,t);
y1 = lsim(CL,roaddist,t);
% Performance Metrics
rms_closed = rms(y1(:,1));
peak_closed = max(abs(y1(:,1)));
energy_open = trapz(t, p1(:,1).^2);
energy_closed = trapz(t, y1(:,1).^2);
attenuation_ratio = energy_closed / energy_open;
</code_block>
With correct A and B matrices, it achieves the following performance:
- RMS of the body travel: 0.014 m
- Peak of the body travel: 0.0309 m
- Energy Attenuation: 57.23%
Please choose another beta parameter between 0 and 1 in the Design Setup section of the baseline MATLAB code to achieve the following performance requirements:
- RMS body travel ≤ 0.012m
- Peak body travel ≤ 0.035m
- Energy attenuation ≤ 35% | Xingang Guo |
XW_02 | 1,241 | Operating System Design | ## Task Description
In this task, you will be provided with a filesystem image. You are required to develop separate programs—each implementing a different filesystem operation (e.g., create, read, update, delete)—to modify the corresponding sections of that image. Your objective is to ensure that each program correctly performs its assigned operation on the intended part of the filesystem image while preserving its overall integrity.
Here is the class definition for your reference:
from dataclasses import dataclass, field
from typing import List, Dict, Optional
@dataclass
class SuperBlock:
"""File system superblock, stores metadata."""
block_size: int # Size of each block (bytes)
total_blocks: int # Total number of blocks
inode_count: int # Total number of inodes
free_block_bitmap: List[bool] # Free block bitmap, True = free
@dataclass
class Inode:
"""Inode structure, stores file/directory metadata."""
ino: int # Inode number
is_dir: bool # Whether this inode is a directory
size: int # File size (bytes)
direct_blocks: List[int] # List of direct block indices
# Optional: add indirect block pointers, multi-level indexing, permissions, timestamps, etc.
@dataclass
class DirEntry:
"""Directory entry: maps a filename to an inode."""
name: str
inode: int
@dataclass
class FileSystemImage:
"""Complete file system image structure."""
superblock: SuperBlock
inodes: Dict[int, Inode] = field(default_factory=dict)
# Directory tree: inode -> list of entries in that directory; valid only for is_dir=True inodes
directories: Dict[int, List[DirEntry]] = field(default_factory=dict)
# Data block storage area: index corresponds to block number, content is bytes
data_blocks: List[Optional[bytes]] = field(default_factory=list)
def __post_init__(self):
# Initialize data block storage area
if not self.data_blocks:
self.data_blocks = [None] * self.superblock.total_blocks
def allocate_block(self) -> int:
"""Allocate a block from the free bitmap and return its index; raise if none available."""
for idx, free in enumerate(self.superblock.free_block_bitmap):
if free:
self.superblock.free_block_bitmap[idx] = False
self.data_blocks[idx] = b'' # Initialize with empty content
return idx
raise RuntimeError("No free blocks available")
def free_block(self, block_idx: int):
"""Free the specified block index."""
if 0 <= block_idx < self.superblock.total_blocks:
self.superblock.free_block_bitmap[block_idx] = True
self.data_blocks[block_idx] = None
else:
raise IndexError("Block index out of range")
def create_inode(self, is_dir: bool) -> Inode:
"""Create a new inode and return it."""
new_ino = len(self.inodes) + 1
if new_ino > self.superblock.inode_count:
raise RuntimeError("No free inodes")
inode = Inode(
ino=new_ino,
is_dir=is_dir,
size=0,
direct_blocks=[]
)
self.inodes[new_ino] = inode
if is_dir:
self.directories[new_ino] = []
return inode
def add_dir_entry(self, dir_ino: int, name: str, inode: int):
"""Add a directory entry to the directory with inode dir_ino."""
if dir_ino not in self.directories:
raise RuntimeError("Not a directory inode")
self.directories[dir_ino].append(DirEntry(name=name, inode=inode))
### Task 1
def write(fs_img: FileSystemImage, name: str, pos: int, data: str) -> FileSystemImage:
"""
Write the UTF‑8 encoded bytes of `data` into the file called `name`
in the given FileSystemImage, starting at byte offset `pos`. Return
the updated FileSystemImage so that its blocks and inode metadata
can be inspected for correctness.
Parameters:
- fs_img: the FileSystemImage instance containing inodes, directories,
and data blocks.
- name: an absolute or relative path to the target file within `fs_img`.
- pos: the byte offset within the file at which to begin writing.
- data: a Python string whose UTF‑8 encoding will be written.
Returns:
- The same FileSystemImage instance (`fs_img`), mutated to reflect the
writes (updated data_blocks and inode.size).
Behavior:
1. If the file `name` does not exist or refers to a directory, raise
`FileNotFoundError` or `IsADirectoryError` respectively.
2. If `pos` is negative or greater than the file’s current size, raise
`ValueError`.
3. Encode `data` as UTF‑8 bytes.
4. If writing beyond the current end of file, extend the file:
- Allocate new blocks via `fs_img.allocate_block()` as needed.
- Update the inode’s `direct_blocks` list accordingly.
5. Compute which blocks and byte‐ranges within them correspond to
the target file range `[pos, pos + len(bytes))`.
6. Overwrite or append bytes in `fs_img.data_blocks[block_idx]` per block.
7. Update the inode’s `size` to `max(old_size, pos + len(bytes))`.
8. Return the mutated `fs_img` so that test harnesses can inspect
its `data_blocks` and `inodes` for correctness.
"""
| Licheng Xu, Jinwen Wang |
Ziheng_03 | 209 | Control Design | ## Task Description
You need to design a PID controller for a magnetic levitation system in which an electromagnet holds a steel ball at a desired height. Near the operating point, the nonlinear dynamics can be linearized and modeled by the transfer function:
G = K / ((tau1*s + 1)*(tau2*s + 1)*(tau3*s + 1));
where:
K = 1;
tau1 = 0.5;
tau2 = 1.0;
tau3 = 2.0;
Design a PID controller so that the closed‑loop system meets all of the following specifications:
1. Settling time Ts (to within plus or minus 5 percent) < 5s
2. Overshoot < 20 percent
3. Zero steady state error to a unit step input
4. Gain margin > 10dB
5. Phase margin > 45 degrees
## Output format
You need to give me your Kp, Ki, and Kd in numerical form.
| Ziheng Guo |
XG_09 | 780 | Signal Processing | ## Task Description
In this task, you will use fuzzy logic to process an image for edge detection. An edge is a boundary between two uniform regions. You can detect an edge by comparing the intensity of neighboring pixels. However, because uniform regions are not crisply defined, small intensity differences between two neighboring pixels do not always represent an edge. Instead, the intensity difference might represent a shading effect. The fuzzy logic approach for image processing allows you to use membership functions to define the degree to which a pixel belongs to an edge or a uniform region. Here is a baseline code:
<code>
% Import the image.
Irgb = imread('peppers.png');
% Convert to 2-D array
Igray = rgb2gray(Irgb);
% Convert image to double-precision data
I = im2double(Igray);
% Obtain Image gradient
Gx = [-1 1];
Gy = Gx';
Ix = conv2(I,Gx,'same');
Iy = conv2(I,Gy,'same');
% Define Fuzzy Inference System (FIS) for Edge Detection
edgeFIS = mamfis('Name','edgeDetection');
edgeFIS = addInput(edgeFIS,[-1 1],'Name','Ix');
edgeFIS = addInput(edgeFIS,[-1 1],'Name','Iy');
% zero-mean Gaussian membership function for each input
sx = 0.01;
sy = 0.01;
edgeFIS = addMF(edgeFIS,'Ix','gaussmf',[sx 0],'Name','zero');
edgeFIS = addMF(edgeFIS,'Iy','gaussmf',[sy 0],'Name','zero');
% Specify the intensity of the edge-detected image as an output of edgeFIS
edgeFIS = addOutput(edgeFIS,[0 1],'Name','Iout');
% Specify the triangular membership functions, white and black, for Iout
wa = 0.5;
wb = 0.5;
wc = 1;
ba = 0.5;
bb = 0.5;
bc = 0.7;
edgeFIS = addMF(edgeFIS,'Iout','trimf',[wa wb wc],'Name','white');
edgeFIS = addMF(edgeFIS,'Iout','trimf',[ba bb bc],'Name','black');
% Specify FIS Rules
r1 = "If Ix is zero and Iy is zero then Iout is white";
r2 = "If Ix is not zero or Iy is not zero then Iout is black";
edgeFIS = addRule(edgeFIS,[r1 r2]);
edgeFIS.Rules
% Evaluate FIS
Ieval = zeros(size(I));
for ii = 1:size(I,1)
Ieval(ii,:) = evalfis(edgeFIS,[(Ix(ii,:));(Iy(ii,:))]');
end
</code>
We will use three main evaluation metrics to evaluate the edge detection performance:
1. MSE between the edge detection result and the ground truth edge map
2. PSNR between the edge detection result and the ground truth edge map
3. SSIM between the edge detection result and the ground truth edge map
In the evaluation, the Canny edge detector is used as the pseudo-ground-truth. The above baselinecode achieves:
MSE: 0.5958
PSNR: 2.25 dB
SSIM: 0.0366
Now your task is to tune the parameters of the membership functions (i.e., sx, sy, wa, wb, wc, ba, bb, bc) to improve the edge detection performance and achieve the following metrics:
MSE < 0.5
PSNR > 3 dB
SSIM > 0.05
The gray scale image for edge detection is given to you below for your reference:
234 197 199 202 202 202 204 207 211 210 212 211 212 212 208 208 207 208 207 207 208 208 209 208 206 204 206 207 207 208 207 207 207 210 211 211 213 214 214 212 212 213 214 212 210 209 208 207 206 208 208 206 206 206 207 207 206 208 209 213 213 211 215 241
194 49 54 53 53 53 62 82 91 89 91 88 89 91 91 86 84 88 89 89 91 90 90 86 79 71 77 82 84 87 89 90 91 94 96 98 103 104 105 97 95 101 101 99 94 92 90 90 88 90 89 82 75 76 83 78 72 76 85 96 103 107 119 220
198 47 53 53 52 54 62 79 86 85 87 86 88 90 91 86 84 87 90 92 94 93 90 88 81 73 78 82 84 89 93 95 97 100 104 107 108 110 109 99 98 104 103 102 99 97 96 98 97 98 95 84 74 75 82 78 74 78 85 94 98 102 115 218
202 47 51 54 52 49 56 75 80 82 83 84 87 91 89 82 81 85 90 91 90 88 88 88 78 72 78 82 83 88 93 93 94 99 104 105 107 109 106 97 97 104 101 99 98 96 95 97 95 93 88 81 73 69 77 74 73 75 77 89 93 95 110 218
201 49 53 50 49 46 52 71 76 78 79 80 84 88 87 81 80 85 90 91 90 88 86 86 76 72 78 82 82 86 90 90 92 95 100 101 105 107 104 96 93 99 96 95 96 95 94 95 92 89 85 80 74 70 74 67 66 68 73 85 89 90 105 218
201 50 54 50 49 44 51 68 73 77 78 80 84 88 87 82 81 86 91 90 91 87 86 87 81 73 78 83 82 82 86 87 89 91 95 97 101 101 101 94 88 95 93 92 93 92 91 90 89 89 84 79 70 68 76 66 63 63 70 81 86 88 98 216
201 51 52 48 47 44 50 66 71 76 78 82 86 90 89 83 85 89 93 96 113 122 130 143 144 134 125 119 109 99 87 82 82 83 88 91 96 98 96 90 83 91 89 88 90 89 87 86 85 85 82 76 65 65 75 68 63 62 68 77 84 84 89 213
203 52 50 45 43 42 45 64 72 76 82 85 88 91 94 89 86 92 98 117 139 166 177 187 189 189 185 186 182 191 147 88 77 76 80 85 92 95 91 86 79 89 89 87 89 87 83 83 81 79 77 74 59 61 74 67 63 62 67 75 79 83 88 213
204 56 51 46 42 41 44 65 75 78 85 89 93 94 95 85 88 98 115 128 141 149 161 173 182 188 194 195 200 206 211 177 107 71 68 75 86 93 87 83 78 89 88 88 89 86 82 81 78 76 74 71 54 60 72 67 59 59 66 71 74 82 84 211
205 57 54 49 43 39 42 63 76 81 89 96 97 104 95 101 124 121 124 136 152 149 163 175 182 189 191 194 195 197 212 219 212 168 102 73 85 87 86 82 77 88 88 88 89 86 83 79 75 72 73 70 55 59 70 64 56 56 61 67 71 79 79 209
206 59 56 51 43 40 44 65 79 85 95 101 110 100 107 118 69 53 80 118 130 128 141 159 176 180 182 182 183 187 199 206 215 218 211 149 82 81 84 82 74 85 88 88 87 86 84 83 78 74 72 68 57 57 66 60 54 54 58 63 67 76 81 210
205 61 58 53 46 41 48 71 82 91 99 106 87 94 103 40 39 86 104 103 103 107 131 144 143 156 166 166 157 170 188 195 199 201 211 212 122 77 82 79 70 82 85 85 85 84 85 86 80 77 71 66 58 57 63 59 52 52 56 59 64 75 77 209
205 63 62 55 50 45 51 76 87 94 103 82 82 115 68 79 103 133 156 136 85 97 132 155 155 158 162 166 162 168 179 197 203 200 209 209 133 80 80 80 72 83 87 87 88 86 88 90 79 72 68 66 59 58 62 60 58 55 54 57 62 73 73 207
205 65 64 56 53 47 54 81 94 101 87 58 119 142 156 143 112 124 154 128 84 98 134 157 165 175 179 182 181 185 186 196 201 208 209 217 155 86 83 81 72 84 90 90 91 89 91 91 80 72 66 64 60 59 62 59 58 56 57 60 64 73 71 205
208 68 66 58 54 50 59 88 103 101 48 94 164 172 161 142 112 115 144 110 80 91 137 154 164 180 186 189 189 187 189 193 194 204 220 212 206 119 82 85 73 79 87 90 91 89 91 90 83 76 69 62 56 59 65 59 54 53 57 60 63 72 73 207
208 73 68 59 55 52 63 92 109 63 63 144 145 121 124 105 99 123 144 124 85 97 134 153 161 166 180 194 194 191 190 187 190 199 218 216 212 171 91 89 74 82 90 92 94 92 93 90 86 76 69 60 51 58 69 60 54 53 56 58 61 70 72 207
210 77 70 61 58 58 68 103 97 43 115 148 139 143 117 100 102 134 158 134 94 89 128 149 166 168 182 193 191 190 189 194 191 197 212 213 206 167 100 91 78 81 91 95 97 96 98 93 88 74 67 61 52 56 68 59 56 54 56 57 60 67 69 207
212 81 74 65 63 65 72 112 70 71 132 124 111 88 100 94 94 133 156 146 107 89 115 153 161 171 175 191 191 185 189 195 199 196 205 211 210 150 102 93 79 85 91 98 101 98 97 92 87 78 71 64 57 56 67 59 57 54 55 55 58 64 64 206
213 87 78 69 68 69 78 102 52 115 90 93 87 96 108 81 81 102 145 152 120 96 113 142 147 138 135 148 187 196 191 188 192 201 205 213 220 191 126 91 82 84 92 99 101 102 98 95 87 80 76 69 62 57 67 60 56 54 53 52 56 62 62 205
214 92 82 71 69 72 79 70 88 106 73 82 80 108 95 66 57 80 132 124 103 88 104 134 120 63 52 80 146 197 201 203 201 200 216 209 223 213 169 106 82 85 94 101 103 104 100 98 86 79 74 67 61 58 65 59 58 57 54 52 55 60 61 204
214 99 85 71 69 76 72 89 90 65 80 65 88 79 65 55 50 81 103 97 113 79 76 119 143 100 89 87 108 163 194 200 191 142 149 213 221 221 183 118 86 89 98 105 107 107 104 98 88 81 73 66 60 57 63 59 57 55 53 53 56 61 63 205
216 104 89 75 74 80 73 100 72 55 77 73 54 78 70 56 67 70 89 104 117 80 85 118 115 78 82 97 106 102 180 184 142 63 42 150 219 221 204 131 86 89 103 108 111 112 108 99 89 83 73 66 60 55 62 59 56 52 52 53 58 62 64 206
219 104 88 74 78 81 75 112 80 50 79 67 23 34 49 50 48 68 88 112 118 103 130 123 64 27 83 105 121 113 175 140 89 60 52 113 211 215 216 184 121 99 104 109 113 118 114 103 91 86 77 71 62 57 64 59 56 52 51 54 57 62 63 205
218 105 88 71 79 78 82 119 90 49 101 77 29 45 29 30 35 57 64 94 116 144 155 132 117 125 166 170 146 140 196 166 86 47 80 167 217 207 210 208 148 107 108 113 117 119 116 106 98 92 83 74 63 56 59 59 56 52 53 55 58 63 65 205
217 106 89 73 78 82 81 96 72 59 118 100 37 42 12 29 46 41 45 58 92 153 157 160 149 140 142 155 163 142 181 199 155 157 135 185 215 203 199 196 152 106 113 117 123 121 118 110 104 98 88 77 66 57 57 59 55 52 54 57 60 67 67 206
218 105 89 76 80 87 84 69 49 69 135 114 46 72 18 44 52 45 49 47 73 150 182 170 169 176 173 184 165 148 167 202 163 192 195 195 201 192 182 163 147 107 118 123 127 126 123 113 105 96 90 83 68 57 59 58 56 55 56 60 65 72 71 207
218 104 87 75 81 93 102 65 56 67 140 122 26 96 38 47 42 44 52 42 62 130 181 184 177 193 204 173 148 137 159 204 182 172 213 207 177 169 168 128 124 115 121 126 128 129 123 104 91 103 98 82 69 57 60 58 56 55 58 62 66 73 72 207
218 102 87 75 81 95 104 59 68 85 135 127 10 48 85 44 38 42 54 44 59 120 181 187 190 193 201 176 112 72 153 198 219 186 198 197 169 162 152 129 114 115 128 128 136 139 116 100 141 207 189 103 73 49 51 56 52 49 55 59 67 73 73 208
218 101 87 77 83 94 100 56 77 86 111 123 6 40 102 48 38 45 50 47 65 123 166 184 190 197 183 117 134 89 146 200 218 211 188 176 158 157 140 129 118 117 130 126 159 184 108 139 196 189 178 189 172 163 135 48 57 70 53 57 66 72 74 208
218 101 89 78 81 92 98 80 107 97 59 117 26 29 98 68 37 45 54 55 94 138 128 161 186 180 161 71 91 99 112 168 191 217 199 168 164 145 127 128 120 122 135 90 142 113 84 174 184 151 148 182 192 194 210 136 167 183 62 54 62 72 76 208
217 98 89 79 79 90 95 105 120 72 33 63 65 19 39 40 32 44 51 57 111 151 104 149 177 171 184 112 61 23 56 113 154 182 200 163 157 143 125 128 123 133 93 50 113 65 112 185 131 142 192 208 166 150 173 210 210 143 50 49 60 70 72 207
216 96 87 79 79 92 99 115 42 15 26 15 19 16 17 15 33 49 41 55 108 126 96 134 157 161 170 174 157 104 102 187 194 167 180 157 146 135 121 127 136 103 34 67 157 57 51 105 78 77 165 107 107 144 180 198 215 171 56 58 59 70 72 207
217 95 85 78 79 90 88 40 6 18 24 19 5 11 22 21 33 45 43 62 88 95 113 124 114 127 139 162 156 169 187 203 209 206 169 150 142 131 125 117 162 146 26 119 194 161 138 111 41 38 23 64 113 42 99 113 101 159 167 147 61 70 71 207
217 99 88 78 80 86 38 18 36 69 86 85 48 15 18 23 29 44 44 77 103 64 101 102 43 90 78 89 93 103 157 172 187 204 174 145 138 134 134 116 175 117 55 131 179 198 177 154 126 135 101 154 68 24 1 24 96 184 178 100 62 71 71 208
216 104 92 90 83 38 26 52 67 94 95 92 85 39 19 25 26 41 42 62 107 73 74 93 40 48 55 53 43 50 88 110 146 178 164 141 133 135 132 126 153 63 98 131 165 177 166 196 202 175 158 156 73 16 98 177 198 147 72 53 67 72 73 209
218 112 106 79 38 37 53 67 82 98 110 88 84 61 17 22 26 34 37 42 74 83 66 122 100 93 103 103 84 83 103 96 96 164 159 143 134 131 124 107 113 83 122 134 159 169 163 180 184 157 175 143 72 124 176 191 129 55 52 60 67 70 70 208
220 119 85 35 36 53 75 79 89 97 108 108 78 52 22 15 22 24 32 34 47 76 61 126 133 143 166 165 170 170 175 119 141 172 156 147 136 131 127 71 65 82 113 130 160 170 168 176 172 150 181 161 165 192 194 205 205 121 55 60 65 70 70 207
220 87 40 43 48 66 74 86 91 89 93 103 108 60 39 17 19 22 26 27 31 47 44 86 122 151 183 200 207 205 187 153 181 166 155 148 137 131 135 52 39 61 95 121 162 161 157 170 173 162 151 118 136 189 175 173 162 108 59 60 66 70 70 207
200 43 51 53 54 63 76 101 102 97 95 89 96 101 44 23 21 20 16 19 26 34 34 49 74 105 144 174 175 192 156 157 167 164 157 149 141 131 142 71 31 50 87 115 162 169 156 169 171 129 78 47 34 41 29 21 42 73 65 60 65 69 69 207
197 43 44 52 59 62 86 103 105 107 93 90 90 96 75 45 25 24 17 19 23 25 26 30 37 42 52 82 85 92 92 134 112 160 163 151 145 136 145 91 29 49 92 119 167 165 170 174 144 77 46 37 23 23 17 22 69 77 65 60 65 68 68 207
197 36 45 58 58 57 89 97 102 100 94 90 90 96 108 69 45 48 23 23 22 21 18 17 17 10 22 91 127 96 62 107 69 119 147 160 149 142 147 120 29 67 98 129 170 159 175 176 141 83 77 55 30 45 55 88 88 74 65 60 65 68 68 207
198 33 47 50 48 58 95 96 101 103 97 92 96 101 102 108 75 49 33 20 25 25 20 14 21 83 160 196 137 128 49 69 68 94 91 152 156 145 144 139 51 82 106 136 160 162 170 177 154 128 117 61 51 68 78 98 84 71 66 62 64 67 64 206
197 32 43 46 44 61 99 103 106 111 111 105 101 103 96 106 111 67 46 25 17 18 22 14 82 186 184 189 117 101 52 56 75 77 66 110 166 148 147 145 67 96 137 152 162 166 173 180 164 143 96 32 47 60 96 94 84 73 66 61 62 67 64 206
196 31 43 51 46 45 90 92 95 102 112 109 110 103 111 106 110 112 75 53 32 15 12 38 143 166 177 180 145 60 59 55 91 59 55 69 163 159 151 146 66 106 152 164 168 172 181 183 169 129 63 50 41 81 95 89 79 71 65 60 62 67 65 206
196 33 42 49 52 44 76 76 83 91 92 88 99 96 98 115 102 102 126 71 93 123 116 116 163 172 177 169 167 66 60 56 92 57 37 37 92 144 154 103 89 123 149 160 174 184 186 181 161 65 23 34 97 100 86 85 75 69 63 59 61 68 67 205
197 33 36 44 52 66 57 61 73 82 90 97 99 107 93 78 88 94 125 121 76 125 173 183 175 174 177 170 162 85 48 50 83 62 33 27 40 76 63 52 97 112 136 148 161 176 185 176 80 15 19 25 111 116 80 79 70 66 63 60 62 66 62 205
197 31 36 46 49 62 70 77 79 80 81 91 110 111 115 107 80 80 111 155 95 83 126 164 182 171 173 172 164 91 53 60 79 65 38 33 29 49 39 72 108 111 122 137 131 156 166 155 34 16 57 48 101 127 71 74 68 65 66 63 65 66 61 205
196 28 42 48 52 59 70 65 78 83 85 94 108 108 105 105 117 97 95 128 160 90 93 140 170 165 165 167 163 100 50 75 84 75 48 50 30 41 56 90 121 127 119 132 114 130 152 167 138 69 113 93 155 96 67 70 65 62 59 55 56 61 61 203
198 33 36 40 47 60 57 63 76 85 79 89 105 117 120 113 115 118 118 103 162 145 90 116 150 163 159 166 159 114 40 71 76 67 49 61 36 43 75 105 112 137 130 132 133 136 146 150 153 124 144 141 112 68 53 55 50 47 44 43 46 50 54 204
199 37 38 35 42 46 57 60 66 78 80 79 94 105 119 120 116 120 117 111 145 176 109 96 140 157 152 160 154 121 41 61 64 48 48 51 34 43 59 79 92 116 133 141 147 143 151 156 115 65 72 70 84 82 64 59 53 48 43 43 47 54 54 202
196 31 35 37 39 39 47 54 59 66 84 88 96 101 116 130 123 126 118 97 119 168 156 98 113 139 149 157 146 125 47 50 55 40 49 42 34 43 87 103 107 119 124 112 139 158 157 158 66 46 58 89 102 78 64 59 55 50 45 46 51 61 63 204
199 34 36 35 37 38 41 49 65 62 79 95 90 99 119 126 124 127 136 116 82 143 171 120 96 116 137 146 130 112 47 47 50 38 44 39 36 56 90 118 110 118 152 148 105 115 160 150 51 76 85 108 105 103 90 86 82 79 75 77 84 95 98 214
199 35 37 36 36 36 35 41 52 55 67 92 92 105 117 122 132 139 140 144 118 131 170 148 105 106 126 136 108 96 44 45 47 37 46 51 58 59 76 92 108 92 145 173 151 118 102 123 38 82 93 108 116 114 99 93 89 86 87 87 94 104 107 215
199 35 37 36 36 35 32 37 46 48 64 76 96 97 103 105 115 132 131 131 139 130 140 153 115 103 122 128 94 78 44 45 49 38 55 121 139 125 132 132 124 106 144 170 161 162 122 67 50 78 81 112 116 109 83 76 74 72 67 66 68 74 73 206
198 35 38 37 36 36 36 37 41 50 56 61 76 100 100 103 108 112 128 122 122 124 122 126 124 103 109 113 84 69 47 46 50 38 58 83 80 91 121 141 139 127 163 177 158 160 146 46 45 76 104 105 113 107 63 52 52 48 49 49 49 56 55 202
200 38 43 41 38 37 38 38 41 52 53 59 76 95 97 97 98 118 114 118 128 128 119 119 115 104 114 108 72 59 50 50 53 40 61 73 49 43 54 84 114 136 167 177 166 166 140 62 58 84 110 99 100 109 81 75 76 77 73 73 75 84 83 210
201 42 44 42 39 38 39 39 37 45 56 62 66 87 100 107 120 121 129 138 140 141 148 142 116 109 115 96 59 52 51 52 54 50 78 80 91 97 67 48 70 128 161 175 171 170 134 54 56 69 99 95 96 104 88 86 86 87 86 86 89 97 97 212
202 45 46 44 41 40 41 41 40 43 50 57 70 79 98 114 125 120 132 139 141 145 141 144 148 137 115 86 56 51 51 54 51 53 76 97 136 152 151 104 51 107 152 170 170 171 124 53 61 80 94 95 101 101 91 93 92 91 93 94 97 100 96 213
201 47 49 48 45 44 44 44 44 44 49 55 63 64 94 119 120 130 127 130 139 139 139 149 150 153 143 87 50 49 47 53 57 76 92 101 129 146 154 155 94 79 132 169 170 175 105 54 63 69 89 94 100 91 90 88 87 92 91 94 96 99 95 214
203 52 50 50 47 47 48 48 48 46 49 54 56 64 68 94 119 126 126 127 128 125 149 151 150 155 159 123 67 95 95 58 79 106 139 139 140 151 149 154 152 95 115 161 168 170 86 57 65 72 82 98 93 87 89 87 84 92 100 105 102 101 93 211
204 58 55 54 51 50 51 52 51 49 50 52 56 63 63 77 107 122 126 127 125 132 135 142 149 149 151 164 145 140 160 117 117 95 92 121 143 153 154 158 164 129 123 164 164 154 63 61 70 74 83 95 92 94 94 95 93 96 102 103 96 94 87 209
205 64 61 58 55 53 54 56 55 54 54 53 55 60 61 66 84 112 128 135 128 128 128 127 141 137 152 162 132 129 151 145 92 130 91 95 129 153 160 159 169 152 133 160 162 126 57 65 73 83 95 95 92 96 99 100 102 98 101 103 100 96 87 209
202 59 60 55 52 51 52 53 53 53 53 52 53 57 58 60 63 83 109 130 128 130 138 137 136 143 153 140 109 127 131 132 78 89 125 101 112 138 153 155 165 156 154 158 155 95 48 60 69 79 94 92 90 88 89 90 88 85 85 87 90 90 86 210
232 197 201 201 201 201 201 201 201 201 201 201 201 201 202 203 202 203 209 216 219 219 221 221 220 221 221 216 210 217 216 214 210 201 218 218 216 219 222 228 229 227 229 229 219 204 198 203 205 206 209 209 208 207 207 209 210 211 211 211 211 210 205 235
| Xingang Guo |
Yiqi_01 | 4,385 | Computer Architecture Design | # Problem Definition of T10 Benchmark
To compute a matrix multiplication operator on an inter-core connected AI chip, we need you to derive an execution plan using T10's abstraction. For more information about this problem, please refer to "Background Information of T10 Benchmark".
**The Computation Task:**
The matrix multiplication (MatMul) to be computed is defined as $C[m,n] += A[m,k]*B[k,n]$, where $m = 128$, $k = 5120$, and $n = 15360$. The input and output tensors are all in FP16 format (2 bytes per data element). To find better partitioning plans, you may consider padding this operator along any of its dimensions. However, there will be performance overhead if you pad too much.
**The Hardware:**
We use an inter-core connected AI chip called IPU Mk2. An IPU chip has 1,472 cores, and each core executes independent threads in parallel with a private 624KB scratchpad memory, which adds up to a total of 896MB on-chip memory. The IPU cores are interconnected by high-bandwidth low-latency links. Each core can access the scratchpad memory of another core at 5.5GB/s, offering an aggregated inter-core all-to-all bandwidth of $1472 \times 5.5$ GB/s $\approx 8$ TB/s [1]. Inside each core, there is a systolic array of shape $16 \times 16$, which can compute a partition of the MatMul operator at high throughput. If the sub-MatMul to be computed on each core does not have a shape that is a multiple of the systolic array shape, this sub-MatMul must be padded to align with the systolic array shape.
**The Execution Plan:**
In this problem, we need you to derive a fast execution plan that computes the above MatMul on an IPU chip. First, this plan should ensure that at any time during execution, the sub-tensor partitions on each core do not overflow the per-core SRAM size. Second, the partition factors in this plan should comply with all constraints defined in T10's background information. Third, this plan should use no more than 1,472 cores. Finally, this plan should try to minimize the total execution time, which is the sum of per-core computation time and inter-core communication time.
**The Output Format:**
You should output the execution plan in the following format:
- `F_op`: a list of integers with length 3, which are the operator partition factors on dimensions $m$, $k$, and $n$, respectively.
- `f_t_A_m`: integer, which is the temporal partition factor of tensor A on dimension $m$.
- `f_t_A_k`: integer, which is the temporal partition factor of tensor A on dimension $k$.
- `f_t_B_k`: integer, which is the temporal partition factor of tensor B on dimension $k$.
- `f_t_B_n`: integer, which is the temporal partition factor of tensor B on dimension $n$.
- `f_t_C_m`: integer, which is the temporal partition factor of tensor C on dimension $m$.
- `f_t_C_n`: integer, which is the temporal partition factor of tensor C on dimension $n$.
---
# Background Information of T10 Benchmark
## 1. Inter-core Connected AI Chip
Deep learning accelerators, such as GPUs and TPUs, are widely recognized for their exceptional computing throughput (e.g., hundreds of Tera-FLOPS), making them ideal for handling large-scale models and high-dimensional data in deep learning. Such effectiveness is largely attributed to their massive parallel cores and specialized accelerator units, e.g., TensorCore. However, to saturate the high computing throughput, they usually require a high-throughput memory system, e.g., a large shared memory with multi-hierarchical cache layers in accelerators. Also, an efficient deep learning compiler [7, 8] is necessary to optimize data reuse across the memory hierarchy, ensuring the computing units are fully utilized. This combination of hardware and software design often yields orders of magnitude higher performance than traditional CPUs for critical deep learning operations, including matrix multiplication and convolution.
Despite the success of existing accelerators, the constantly increasing demand for processing large deep-learning models with higher computation throughput presents a significant challenge to the underlying memory system. To address this challenge, the community is exploring a more scalable architecture with fully distributed memory, such as the Graphcore IPU [2], SambaNova SN10 [5], and Cerebras WSE [3]. Rather than relying on shared-memory architecture, they typically associate each computation core with local memory, and connect the cores via a high-bandwidth on-chip network, creating a large aggregated on-chip memory. However, this unique architecture renders previous deep learning compilers designed for shared-memory architectures, which cannot fully leverage the new architecture's scalability, resulting in significant memory waste.
Designing a deep learning compiler for distributed memory-based accelerators presents several unique challenges:
1. Due to the absence of global shared memory, it is necessary to partition the operators, along with their input and output tensors, into sub-operators that can operate independently on each core with only local memory access.
2. As the local memory on each core is mostly on-chip memory, the aggregated memory capacity is considerably smaller than the off-chip memory. Thus, the compiler must effectively utilize the limited memory capacity to support a model size as large as possible, without compromising on computing performance.
3. Given that there is usually a trade-off between memory consumption and computation performance for each operator, an end-to-end model compilation must consider the trade-offs among all operators, generating a combinatorial optimization space that is infeasible to solve using existing compilers.
In this problem, we focus on a representative example of the inter-core connected AI chip: the Graphcore Intelligence Processing Unit (IPU) MK2 [2]. An IPU chip has 1,472 cores, and each core executes independent threads in parallel with a private 624KB scratchpad memory, which adds up to a total of 896MB on-chip memory. Compared to the global shared memory architecture, a key distinction is that IPU cores are interconnected by high-bandwidth low-latency links. Each core can access the scratchpad memory of another core at 5.5GB/s, offering an aggregated inter-core all-to-all bandwidth of $1472 \times 5.5$GB/s $\approx 8$TB/s [1].
---
## 2. Background of T10
To eliminate the excessive memory footprint and redundant inter-core communications of VGM, we map the DNN computation to a _compute-shift_ pattern. In each step, each core independently computes a sub-task with data received from its upstream neighbors and shifts the data to its downstream. The feasibility of this approach for general DNNs comes from this observation: most DNN operators can be divided into regular computation tasks, which load and produce consecutive data tiles of the input and output tensors, respectively.

Figure 1 shows an example that maps a MatMul operator to two cores with the compute-shift style execution.
Both (b) and (c) are valid compute-shift execution plans, but with different tradeoffs between memory footprint and communication overhead.
**Example: Mapping MatMul to Two Cores**
We show an example that maps a matrix multiplication (MatMul) operator to two cores in Figure 1 (a).
1. **Partitioning:** We first partition the operator along dimension $m$ onto two cores in Figure 1 (b). By default, both cores hold a copy of the weight tensor, which incurs memory capacity overhead.
2. **Memory Optimization:** To reduce memory footprint, in Figure 1 (c), we further split the weight tensor along dimension $n$ into two parts and place each part on one of the cores. Then, the computation must be conducted in two steps, as each core holds half of the weight tensor and performs half of its computation per step. Between the computation steps, each core circularly shifts its partition to the next core, forming a shift ring of two cores.
**Advantages of Compute-Shift Pattern**
1. Eliminates the need for a global memory to store shared data, improving memory capacity utilization.
2. Evenly distributes communication volume across inter-core connections.
3. Aligns computation with data tile, avoiding redundant communications to many cores.
**Tradeoff Between Memory Footprint and Communication Overhead**
For example, both Figure 1 (b) and (c) show valid execution plans:
- **Plan (b):** Finishes the entire computation in one step without inter-core communication, but has a higher memory footprint.
- **Plan (c):** Has less memory footprint but incurs more communication overhead.
In reality, deriving the best tradeoff is challenging due to multi-dimensional DNN operators and thousands of cores on an IPU chip. An efficient compute-shift execution plan may contain numerous nested shift rings along multiple tensor dimensions, composing a massive tradeoff space to search through.
---
## 3. T10's Execution Plan Format
### $r$Tensor: A New Tensor Abstraction
T10 introduces a distributed tensor abstraction called RotatingTensor ($r$Tensor). $r$Tensor describes how each tensor is partitioned, mapped, and shifted on the interconnected cores (summarized in Table 1).
**Table 1. Terminologies used in T10**
| **Symbol** | **Name** | **Description** |
|------------|------------------------------|-------------------------------------------------------------------------------|
| `f_s^X` | Spatial Partition Factor | Spatially partitions a tensor X into sub-tensors. |
| `f_t^X` | Temporal Partition Factor | Temporally partitions a sub-tensor of X into sub-tensor partitions. |
| `F_op` | Operator Partition Factor | Spatially partitions an entire operator into sub-operators. |
First, T10 partitions the computation of an operator onto multiple cores. Based on the data dependency, the computation partitioning will imply how each of its input/output tensor is partitioned. This gives a spatial partition factor ($f_s$), which splits a tensor into sub-tensors. Second, each sub-tensor may be required by multiple cores. To share a sub-tensor among them, we specify how the sub-tensor is further partitioned among the cores using a temporal partition factor ($f_t$). $f_t$ also specifies how the partitions of a sub-tensor are circularly shifted among the cores. Altogether, a set of $r$Tensors of an operator defines a compute-shift execution plan. The numerous possible $r$Tensor configurations of an operator generate a huge search space of execution plans.

Figure 2 shows the llustration of rTensor partitioning and rotating.
Specifically, $f_s$ and $f_t$ are vectors with a length equal to the number of dimensions of a tensor, indicating how the tensor is partitioned along each dimension. For example, in Figure 2 (a), a tensor $T$ of shape $[6,8]$ is partitioned onto 8 cores by a spatial factor $f_s=[2,1]$, forming 2 sub-tensors of shape $[3,8]$. Thus, to share each sub-tensor among 4 cores without incurring high memory footprint, a temporal factor $f_t=[1,2]$ further partitions each sub-tensor into 2 partitions with shape $[3,4]$, as shown in Figure 2 (b). It forms $\frac{4}{2} = 2$ rotation rings with 2 cores in each, where cores share the sub-tensor by circularly shifting its partitions. In comparison, Figure 2 (c) shows how another $f_t=[1,4]$ splits the same sub-tensor to 4 partitions, on $\frac{4}{4}=1$ rotation ring with 4 cores.
---
## 3.2. Compute-Shift Execution Plan
Using the $r$Tensor abstraction, T10 organizes the computation of a general DNN operator into a compute-shift pattern, where the operator's computation and tensors are partitioned to individual cores and their local memories. The entire computation involves multiple compute-shift steps until each tensor has been shifted across all cores. Each compute step is defined as a *sub-task*. In each compute-shift step, each core computes a sub-task and shifts local tensors to its neighbors. We now discuss how T10 partitions DNN operators into compute-shift-based execution plans.
### Operator representation.
To represent an operator's computation, T10 uses tensor expression [6], which defines how each output tensor value is computed from the input values. For example, a matrix multiplication of tensors $A$ in shape $[M,K]$ and $B$ in $[K,N]$ into $C$ is defined as
$C[m,n] \longleftarrow A[m,k]*B[k,n]$,
where $m$, $k$, and $n$ are axes to index the elements in each tensor. Equation (1) indicates that any value in $C$ indexed by $m$ and $n$ (i.e., $C[m,n]$) is computed by summing $A[m,k]*B[k,n]$ over all possible indices $k$. T10 supports all common operators, like MatMult and Convolution, from DNN workloads in both inference and training. For a few special cases like Sort, which cannot be represented in tensor expression, T10 uses the implementations from the vendor library.
### Partitioning an operator.
To map an operator to interconnected cores, T10 first partitions it into parallel *sub-operators* along all unique axes in its tensor expression, using an *operator partition factor* ($F_{op}$). For example, Equation (1) contains axes $m$, $k$, and $n$, then $F_{op}$ is a vector of three integer factors specifying how the three axes are spatially partitioned. The total number of sub-operators is the product of all elements in $F_{op}$. For example, $F_{op}=[2,1,4]$ for $[m,k,n]$ slices the operator into 8 sub-operators on 8 cores, each computing a $\lceil\frac{M}{2},\frac{K}{1}\rceil \times \lceil\frac{K}{1},\frac{N}{4}\rceil$ sub-matrix multiplication.
### Partitioning $r$Tensors.
T10 then uses $F_{op}$ to derive the spatial partition factor $f_s$ for each tensor, following the data dependencies in tensor expression. With the same example, for $F_{op}=[2,1,4]$ on $[m,k,n]$, the spatial partition factor for the tensor A is $f_s^A=[2,1]$ for axes $m$ and $k$. Similarly, for tensors B and C, we have $f_s^B=[1,4]$ and $f_s^C=[2,4]$.
If a tensor's dimensions do not include some axis in $F_{op}$, each of the sliced sub-tensors is required by multiple sub-operators along the missing axis. Thus, once the spatial factor determines the number of cores that will share a sub-tensor, the temporal factor determines how we split the sub-tensor across these cores into rotation ring(s). In the above example, $F_{op}$ partitions the entire operator onto $2 \times 1 \times 4 = 8$ cores, and $f_s^B$ spatially partitions tensor B into $1 \times 4 = 4$ sub-tensors. Thus, each sub-tensor is shared by $P=\frac{8}{4}=2$ cores. Then, a temporal factor $f_t^B=[2,1]$ further splits each sub-tensor into $2 \times 1 = 2$ partitions, forming $\frac{P}{2}=1$ rotation ring.
T10 enforces that the product of elements in $f_t$, or $\prod f_t$, is a divisor of the number of cores that shares the sub-tensor ($P$), so that the number of rotation rings (i.e., $\frac{P}{\prod f_t}$) is an integer. If there is more than one rotation ring, we replicate each sub-tensor $\frac{P}{\prod f_t}$ times to ensure that each ring shares one copy of the sub-tensor. While the duplication consumes memory space, it may reduce the number of rotation steps by allowing a larger sub-task on each core at each step, which enables a trade-off between memory usage and communication cost.

Figure 3 shows an example of the rotation of rTensor.
The compute-shift executions of the sub-operators need to be aligned.
### Aligning the rotations of $r$Tensors.
Since a general DNN operator can have various tensor shapes, a naive partitioning plan can easily cause the tensor shifting and the sub-task computing at an unaligned pace. In Figure 3 (a), we still use the MatMult operator in Equation (1) as an example. We partition it into a $2 \times 4$ grid in Figure 3 (b), with the specified partition factors. Note that both A and B are temporally partitioned along axis $k$, but with different $f_t$ factors.
The rotating paces of tensors in one operator must be aligned to ensure correct data dependency. In Figure 3 (b), tensors A and B are shifted with different paces along axis $k$. To synchronizes the paces, each core shifts A for 2 times along $k$ for each time B is shifted, and computes a sub-task (i.e., a sub-MatMult) of shape $[\text{m=1, k=1, n=1}]$ for each time A is shifted. This requires 4 compute steps to finish the sub-operator on this core, where A is shifted after each step and B is shifted every 2 steps.
### Alignment constraints.
To ensure that a $r$Tensor configuration can be translated into a valid execution plan, the product of the temporal partition factors of a tensor (i.e., the total number of temporal partitions) should be a factor of the replication number caused by spatial partitioning. Additionally, for different tensors of an operator that share a common dimension, all their temporal factors on this dimension should be aligned. Thus, any pair of temporal factors should be a factor or multiple of each other. This approach allows each tensor or sub-tensor to flow across cores at a different pace, while ensuring that corresponding tensor partitions can meet at the same time step on a specific core. For instance, in the matrix multiplication illustrated in Figure 3(b), tensor A has a temporal factor of 4 along dimension $k$, tensor B has a factor of 2, and these factors along $k$ (i.e., 4 and 2) must be a factor or multiple of each other.
### Sub-operator scheduling.
With the above constraints, we can organize an operator's computation into a valid compute-shift execution plan. At each step, each sub-operator computes a sub-task partitioned by $F_{op}$ and the $f_t$ along each axis. Each sub-operator iterates over all its sub-tasks by nested-looping through the axes of this operator. Between sub-tasks, an $r$Tensor is rotated along the currently iterating axis for all its sub-tensors, until all sub-tasks are enumerated.
Specifically, T10 organizes the computation on each core as nested loops of interleaved compute and shift stages. Each loop corresponds to a temporally partitioned dimension. For example, if there are two dimensions to shift, $m$ and $n$, with $m$ shifting twice and $n$ shifting once, then there are two valid 5-time shift schedules: (1) $m$ is the inner loop, i.e., $\text{shift}(m) \times 2 \rightarrow \text{shift}(n) \rightarrow \text{shift}(m) \times 2$; and (2) $n$ is the inner loop, i.e., $\text{shift}(n) \rightarrow \text{shift}(m) \rightarrow \text{shift}(n) \rightarrow \text{shift}(m) \rightarrow \text{shift}(n)$. To determine the optimal loop order, T10 designates the dimension belonging to the tensor with the smaller size as the inner loop to reduce the total communication volume, as the inner loop is executed more times. To generate local computations for each core, T10 invokes the corresponding compute function with the partition configuration and the tensor expression.
---
# References
[1] Zhe Jia, Blake Tillman, Marco Maggioni, and Daniele Paolo Scarpazza. 2019. Dissecting the Graphcore IPU Architecture via Microbenchmarking.
[2] Simon Knowles. 2021. Graphcore Colossus Mk2 IPU.
[3] Sean Lie. 2021. Multi-Million Core, Multi-Wafer AI Cluster.
[4] Yiqi Liu et al. 2024. Scaling Deep Learning Computation over the Inter-Core Connected Intelligence Processor with T10.
[5] Raghu Prabhakar and Sumti Jairath. 2021. SambaNova SN10 RDU.
[6] Nicolas Vasilache et al. 2018. Tensor Comprehensions.
[7] Lianmin Zheng et al. 2020. Ansor.
[8] Hongyu Zhu et al. 2022. ROLLER. | Yiqi Liu |
KV_02 | 389 | Control Design | ## Task Description
You are designing control parameters for a **Switched Capacitor Battery Balancing System** involving active balancing of three Li-ion battery cells connected in series.
The system uses:
- 2 capacitors and 6 bidirectional switches (active balancing architecture)
- Initial state of charge (SOC) of each cell:
- Cell 1: 0.70
- Cell 2: 0.75
- Cell 3: 0.80
- PWM switching for UP and DOWN phases:
- Switching period (Tper) = 0.006 seconds
- 50% duty cycle
- 180° interleaving
- CC-CV Battery control block:
- Maximum voltage vMax = 4.1 V
- PI controller: Kp = 100, Ki = 10
- Charge and discharge behavior controlled by relay toggling logic
You must propose control parameters that allow safe, efficient, and effective balancing.
---
## Your Task
You are tasked with proposing **four numerical values** that control balancing behavior:
1. `lowest_current`:
- The **smallest balancing current** (in Amperes) that could reasonably allow balancing progress.
2. `highest_current`:
- The **maximum allowable balancing current** (in Amperes) without causing SOC instability.
3. `on_threshold`:
- The **SOC level** at which the relay should **stop charging** (start discharging).
4. `off_threshold`:
- The **SOC level** at which the relay should **resume charging** after discharge.
---
## Output Format
You must **only** return the following exact Python dictionary format:
```python
{
"lowest_current": YOUR_VALUE,
"highest_current": YOUR_VALUE,
"on_threshold": YOUR_VALUE,
"off_threshold": YOUR_VALUE
}
| Kojo Vandyck |
XW_04 | 1,292 | Operating System Design | ## Task Description
In this task, you will be provided with a filesystem image. You are required to develop a separate program that implements a different filesystem operation (e.g., create, read, update, delete)—to modify the corresponding sections of that image. Your objective is to ensure that the program correctly performs its assigned operation on the intended part of the filesystem image while preserving its overall integrity.
Here is the class definition for your reference:
from dataclasses import dataclass, field
from typing import List, Dict, Optional
@dataclass
class SuperBlock:
"""File system superblock, stores metadata."""
block_size: int # Size of each block (bytes)
total_blocks: int # Total number of blocks
inode_count: int # Total number of inodes
free_block_bitmap: List[bool] # Free block bitmap, True = free
@dataclass
class Inode:
"""Inode structure, stores file/directory metadata."""
ino: int # Inode number
is_dir: bool # Whether this inode is a directory
size: int # File size (bytes)
direct_blocks: List[int] # List of direct block indices
# Optional: add indirect block pointers, multi-level indexing, permissions, timestamps, etc.
@dataclass
class DirEntry:
"""Directory entry: maps a filename to an inode."""
name: str
inode: int
@dataclass
class FileSystemImage:
"""Complete file system image structure."""
superblock: SuperBlock
inodes: Dict[int, Inode] = field(default_factory=dict)
# Directory tree: inode -> list of entries in that directory; valid only for is_dir=True inodes
directories: Dict[int, List[DirEntry]] = field(default_factory=dict)
# Data block storage area: index corresponds to block number, content is bytes
data_blocks: List[Optional[bytes]] = field(default_factory=list)
def __post_init__(self):
# Initialize data block storage area
if not self.data_blocks:
self.data_blocks = [None] * self.superblock.total_blocks
def allocate_block(self) -> int:
"""Allocate a block from the free bitmap and return its index; raise if none available."""
for idx, free in enumerate(self.superblock.free_block_bitmap):
if free:
self.superblock.free_block_bitmap[idx] = False
self.data_blocks[idx] = b'' # Initialize with empty content
return idx
raise RuntimeError("No free blocks available")
def free_block(self, block_idx: int):
"""Free the specified block index."""
if 0 <= block_idx < self.superblock.total_blocks:
self.superblock.free_block_bitmap[block_idx] = True
self.data_blocks[block_idx] = None
else:
raise IndexError("Block index out of range")
def create_inode(self, is_dir: bool) -> Inode:
"""Create a new inode and return it."""
new_ino = len(self.inodes) + 1
if new_ino > self.superblock.inode_count:
raise RuntimeError("No free inodes")
inode = Inode(
ino=new_ino,
is_dir=is_dir,
size=0,
direct_blocks=[]
)
self.inodes[new_ino] = inode
if is_dir:
self.directories[new_ino] = []
return inode
def add_dir_entry(self, dir_ino: int, name: str, inode: int):
"""Add a directory entry to the directory with inode dir_ino."""
if dir_ino not in self.directories:
raise RuntimeError("Not a directory inode")
self.directories[dir_ino].append(DirEntry(name=name, inode=inode))
### Task
def delete(fs_img: FileSystemImage, path: str) -> FileSystemImage:
"""
Delete the file or directory at the given path within the FileSystemImage.
Return the updated FileSystemImage so that its inodes, directory entries,
and data blocks can be inspected for correctness.
Parameters:
- fs_img: the FileSystemImage instance containing inodes, directories,
and data blocks.
- path: an absolute or relative path to the file or directory to delete.
Returns:
- The same FileSystemImage instance (`fs_img`), mutated to reflect the
removal of the entry and reclamation of resources.
Behavior:
1. Split `path` into `parent_path` and `name`:
e.g. `/a/b/c` → `parent_path='/a/b'`, `name='c'`.
2. Look up `parent_path`:
- If not found, raise `FileNotFoundError`.
- If found but not a directory, raise `NotADirectoryError`.
3. In the parent directory’s entries, locate an entry whose `name` matches:
- If none found, raise `FileNotFoundError`.
- Determine its `inode` number.
4. Inspect the target inode:
- If it is a directory and is **not empty**, raise `OSError("Directory not empty")`.
- If it is a directory and empty, remove its entry list from `fs_img.directories`.
- If it is a file, proceed to free its data blocks:
- For each block index in `inode.direct_blocks`, call `fs_img.free_block(index)`.
5. Remove the inode from `fs_img.inodes`.
6. Remove the directory entry from the parent’s `fs_img.directories[parent_inode.ino]`.
7. Return the mutated `fs_img`.
Exceptions:
- `FileNotFoundError` if the path or parent directory does not exist.
- `NotADirectoryError` if the parent exists but is not a directory.
- `FileExistsError` is **not** used here; instead:
- `OSError("Directory not empty")` if attempting to delete a non-empty directory.
"""
# Your implementation here… | Licheng Xu, Jinwen Wang |
Ziheng_02 | 563 | Robotics | ##Task Description
The question: Find a set of joint variables that would produce a given tool pose for a 6-DOF robot
Frame 0 is fixed to the base. Frame 1 is fixed to the tool. Set the frame 0 as the reference, for frame 1, the homogeneous transformation matrix M_1in0 is:
M = [ 0.0000 0.0000 1.0000 -8.0000;
1.0000 0.0000 0.0000 2.0000;
0.0000 1.0000 0.0000 0.0000;
0.0000 0.0000 0.0000 1.0000]];
The matrix of spatial screw axes is:
S = [ 0.0000 1.0000 0.0000 0.0000 -1.0000 0.0000;
0.0000 0.0000 0.0000 1.0000 0.0000 1.0000;
0.0000 0.0000 -1.0000 0.0000 0.0000 0.0000;
0.0000 0.0000 2.0000 0.0000 0.0000 0.0000;
1.0000 0.0000 -4.0000 0.0000 0.0000 0.0000;
0.0000 0.0000 0.0000 -6.0000 0.0000 -8.0000 ];
The goal pose T_1in0 is:
T_1in0 = [ -0.5429 0.5940 0.5937 -9.3919;
0.6499 -0.1506 0.7449 -1.8656;
0.5319 0.7903 -0.3043 0.6739;
0 0 0 1.0000 ];
## Output Format
Find a set of joint variables θ that is:
theta=[theta_1; theta_2; theta_3; theta_4; theta_5; theta_6]
that would result in the given pose T_1in0. | Ziheng Guo |
XG_08 | 730 | Control Design | ## Task Description
In this task, you will need to build a fuzzy inference system using MATLAB Fuzzy Logic Designer app for a tipping problem. For this problem, the tipping behavior is defined using the following rule:
1. If the service is poor or the food is rancid, then the tip is cheap.
2. If the service is good, then the tip is average.
3. If the service is excellent or the food is delicious, then the tip is generous.
The following MATLAB code is given to build the fuzzy inference system with some missing parts:
<code>
% create a Mamdani FIS, specifying its name
fis = mamfis("Name","tipper");
% add input variables
fis = addInput(fis,[0 10],"Name","service");
fis = addInput(fis,[0 10],"Name","food");
% add membership function 1
fis = addMF(fis,"service","<MISSING_type>",<MISSING_parameters>,"Name","poor");
% add membership function 2
fis = addMF(fis,"service","<MISSING_type>",<MISSING_parameters>,"Name","good");
% add membership function 3
fis = addMF(fis,"service","<MISSING_type>",<MISSING_parameters>,"Name","excellent");
% add membership function 4
fis = addMF(fis,"food","<MISSING_type>",<MISSING_parameters>,"Name","rancid");
% add membership function 5
fis = addMF(fis,"food","<MISSING_type>",<MISSING_parameters>,"Name","delicious");
% add output variable
fis = addOutput(fis,[0 30],"Name","tip");
% add membership function 6
fis = addMF(fis,"tip","<MISSING_type>",<MISSING_parameters>,"Name","cheap");
% add membership function 7
fis = addMF(fis,"tip","<MISSING_type>",<MISSING_parameters>,"Name","average");
% add membership function 8
fis = addMF(fis,"tip","<MISSING_type>",<MISSING_parameters>,"Name","generous");
% add rules
ruleList = <MISSING_rule_list>;
fis = addRule(fis,ruleList);
</code>
### Task 1
Your first task is to complete the code to build the fuzzy inference system. For membership functions, the corresponding shape is given in the attached image 1 to 8. You need to determine the type of membership function and the parameters using the images. You should choose membership function types among gaussmf, trapmf, and trimf.
### Task 2
Your second task is to specify the rule list for the fuzzy inference system as a numeric array using the aforementioned rules.
Each row of the array contains one rule in the following format.
- Column 1 — Index of membership function for first input
- Column 2 — Index of membership function for second input
- Column 3 — Index of membership function for output
- Column 4 — Rule weight (from 0 to 1)
- Column 5 — Fuzzy operator (1 for AND, 2 for OR)
Therefore, you should return a 3x5 array for this rule list.
### Task 3
Your third task is to use the fuzzy inference system to determine the tip for the following inputs:
Input 1:
- service = 6
- food = 6
Input 2:
- service = 1
- food = 2
| Xingang Guo |
XW_03 | 1,261 | Operating System Design | ## Task Description
In this task, you will be provided with a filesystem image. You are required to develop a separate program that implements a filesystem operation (e.g., create, read, update, delete)—to modify the corresponding sections of that image. Your objective is to ensure that each program correctly performs its assigned operation on the intended part of the filesystem image while preserving its overall integrity.
Here is the class definition for your reference:
from dataclasses import dataclass, field
from typing import List, Dict, Optional
@dataclass
class SuperBlock:
"""File system superblock, stores metadata."""
block_size: int # Size of each block (bytes)
total_blocks: int # Total number of blocks
inode_count: int # Total number of inodes
free_block_bitmap: List[bool] # Free block bitmap, True = free
@dataclass
class Inode:
"""Inode structure, stores file/directory metadata."""
ino: int # Inode number
is_dir: bool # Whether this inode is a directory
size: int # File size (bytes)
direct_blocks: List[int] # List of direct block indices
# Optional: add indirect block pointers, multi-level indexing, permissions, timestamps, etc.
@dataclass
class DirEntry:
"""Directory entry: maps a filename to an inode."""
name: str
inode: int
@dataclass
class FileSystemImage:
"""Complete file system image structure."""
superblock: SuperBlock
inodes: Dict[int, Inode] = field(default_factory=dict)
# Directory tree: inode -> list of entries in that directory; valid only for is_dir=True inodes
directories: Dict[int, List[DirEntry]] = field(default_factory=dict)
# Data block storage area: index corresponds to block number, content is bytes
data_blocks: List[Optional[bytes]] = field(default_factory=list)
def __post_init__(self):
# Initialize data block storage area
if not self.data_blocks:
self.data_blocks = [None] * self.superblock.total_blocks
def allocate_block(self) -> int:
"""Allocate a block from the free bitmap and return its index; raise if none available."""
for idx, free in enumerate(self.superblock.free_block_bitmap):
if free:
self.superblock.free_block_bitmap[idx] = False
self.data_blocks[idx] = b'' # Initialize with empty content
return idx
raise RuntimeError("No free blocks available")
def free_block(self, block_idx: int):
"""Free the specified block index."""
if 0 <= block_idx < self.superblock.total_blocks:
self.superblock.free_block_bitmap[block_idx] = True
self.data_blocks[block_idx] = None
else:
raise IndexError("Block index out of range")
def create_inode(self, is_dir: bool) -> Inode:
"""Create a new inode and return it."""
new_ino = len(self.inodes) + 1
if new_ino > self.superblock.inode_count:
raise RuntimeError("No free inodes")
inode = Inode(
ino=new_ino,
is_dir=is_dir,
size=0,
direct_blocks=[]
)
self.inodes[new_ino] = inode
if is_dir:
self.directories[new_ino] = []
return inode
def add_dir_entry(self, dir_ino: int, name: str, inode: int):
"""Add a directory entry to the directory with inode dir_ino."""
if dir_ino not in self.directories:
raise RuntimeError("Not a directory inode")
self.directories[dir_ino].append(DirEntry(name=name, inode=inode))
### Task
def create(fs_img: FileSystemImage, path: str, is_dir: bool = False) -> FileSystemImage:
"""
Create a new empty file or directory at the given path within the FileSystemImage.
Return the updated FileSystemImage so that its inodes and directory entries
can be inspected for correctness.
Parameters:
- fs_img: the FileSystemImage instance containing inodes, directories,
and data blocks.
- path: an absolute or relative path where the new file or directory
should be created.
- is_dir: if True, create a directory; if False, create a regular file.
Returns:
- The same FileSystemImage instance (`fs_img`), mutated to include the
new entry’s inode and parent directory mapping.
Behavior:
1. Split `path` into `parent_path` and `name`:
- e.g. `/a/b/c` → `parent_path='/a/b'`, `name='c'`.
2. Look up `parent_path` in `fs_img`:
- If not found, raise `FileNotFoundError`.
- If found but not a directory, raise `NotADirectoryError`.
3. In the parent directory’s entries, if `name` already exists
(file or directory), raise `FileExistsError`.
4. Allocate a new inode:
```python
new_inode = fs_img.create_inode(is_dir=is_dir)
```
5. If creating a directory, initialize its child entry list
(already handled by `create_inode`).
6. Add a directory entry in the parent:
```python
fs_img.add_dir_entry(parent_inode.ino, name, new_inode.ino)
```
7. Return the mutated `fs_img`.
Exceptions:
- `FileNotFoundError` if the parent path does not exist.
- `NotADirectoryError` if the parent exists but is not a directory.
- `FileExistsError` if an entry with the same `name` already exists.
"""
# Your implementation here… | Licheng Xu, Jinwen Wang |
XG_01 | 775 | Control Design | In this task, you will use MATLAB’s Robust Control Toolbox, specifically the loopsyn function, to design a stabilizing controller for an aircraft system.
## Aircraft Model
The aircraft is modeled by the following state-space system:
A = \begin{bmatrix}
-2.2567 \times 10^{-2} & -3.6617 \times 10^{1} & -1.8897 \times 10^{1} & -3.2090 \times 10^{1} & 3.2509 & -7.6257 \times 10^{-1} \\
9.2572 \times 10^{-5} & -1.8997 & 9.8312 \times 10^{-1} & -7.2562 \times 10^{-4} & -1.7080 \times 10^{-1} & -4.9652 \times 10^{-3} \\
1.2338 \times 10^{-2} & 1.1720 \times 10^{1} & -2.6316 & 8.7582 \times 10^{-4} & -3.1604 \times 10^{1} & 2.2396 \times 10^{1} \\
0 & 0 & 1.0000 & 0 & 0 & 0 \\
0 & 0 & 0 & 0 & -3.0000 \times 10^{1} & 0 \\
0 & 0 & 0 & 0 & 0 & -3.0000 \times 10^{1}
\end{bmatrix}
B = \begin{bmatrix}
0 & 0 \\
0 & 0 \\
0 & 0 \\
0 & 0 \\
30 & 0 \\
0 & 30
\end{bmatrix}
C = \begin{bmatrix}
0 & 1 & 0 & 0 & 0 & 0 \\
0 & 0 & 0 & 1 & 0 & 0
\end{bmatrix}
D = \begin{bmatrix}
0 & 0 \\
0 & 0
\end{bmatrix}
## Instructions
### Step 1: Design a target loop shape
To use loopsyn design a stabilizing controller, you will first need to provide a target loop shape. Consider a desired loop shape with:
- crossover frequency of 8 rad/s
- has low gain at high frequencies for robustness, and high gain at low frequencies for performance
- consider the transfer function 8/s as an example of a target loop shape
Your first task is to specify the transfer function of the desired loop shape by providing its numerator and denominator coefficients.
### Step 2: Use *loopsyn* to Design a Controller
Using the target loop shape, design a stabilizing controller K via loopsyn. Your design must ensure the **closed-loop system achieves at least a 0.05 disk margin** and **has a performance gamma less than 1**. Here gamma is a performance measure of how well the loop shape with proposed controller matches the desired loop shape. Values of gamma near or below 1 indicate that G*K is close to Gd.
The loopsyn function requires the following inputs:
- G: dynamical system to be controlled (this will be the aircraft model)
- Gd: desired loop shape (this will be determined by the num and den provided in the previous step)
- alpha: a factor (0 < alpha < 1) to balance between performance and robustness
There will be an evaluation step to check if the closed-loop system achieves at least 0.05 disk margin.
| Xingang Guo |
ZC_01 | 646 | Control Design | ## Task Description
Consider the following continuous‑time uncertain system:
\[
\dot{x}(t) = \bigl(A_0 + \Delta A\bigr)\,x(t) + B_1\,w(t) + B_2\,u(t),
\]
\[
z(t) = C_1\,x(t) + D_{11}\,w(t) + D_{12}\,u(t),
\]
\[
y(t) = C_2\,x(t) + D_{21}\,w(t) + D_{22}\,u(t),
\]
where \(x\in\mathbb{R}^4\), \(u\in\mathbb{R}\), \(w\in\mathbb{R}\), and \(z,y\in\mathbb{R}\). The nominal matrices are
\[
A_0 = \begin{bmatrix}
0 & 1 & 0 & 0\\
0 & 0 & 1 & 0\\
0 & 0 & 0 & 1\\
-5 & -4 & -3 & -2
\end{bmatrix},\quad
B_1 = \begin{bmatrix}0\\0\\0\\1\end{bmatrix},\quad
B_2 = \begin{bmatrix}0\\0\\1\\0\end{bmatrix},
\]
\[
C_1 = \begin{bmatrix}1 & 0 & 0 & 0\end{bmatrix},\quad
D_{11} = 0,\quad
D_{12} = 0,
\]
\[
C_2 = \begin{bmatrix}0 & 0 & 1 & 0\end{bmatrix},\quad
D_{21} = 0,\quad
D_{22} = 0.
\]
The uncertainties are
\[
\Delta A \in \mathrm{Co}\{\,0.2\,I_4,\;-0.2\,I_4\},
\qquad
w(s) = \Delta_{\mathrm{dyn}}(s)\,z(s),\;\|\Delta_{\mathrm{dyn}}\|_\infty \le 1.
\]
### Task
By solving a SDP to meet the H-infinity performance, design a static state‑feedback gain \(K\in\mathbb{R}^{1\times 4}\) such that the closed‑loop system satisfies:
1. Robust stability: for all \(\Delta A \in \mathrm{Co}\{\,\pm0.2\,I_4\}\) and any \(\Delta_{\mathrm{dyn}}\) with \(\|\Delta_{\mathrm{dyn}}\|_\infty \le 1\), the closed‑loop matrix is Hurwitz.
2. \(\mathcal{H}_\infty\) performance: the transfer function \(T_{zw}(s)\) satisfies \(\|T_{zw}\|_\infty < 0.3\).
| Zichen Wang |
KV_03 | 707 | Control Design | Switched Capacitor Battery Balancing System – Design Challenge Task
Task Title:
Fill-in-the-Blank System Architecture Inference – Battery CC-CV Interface
System Description:
The system balances a 3-cell lithium-ion battery pack using switched-capacitor charge redistribution. The system includes six switches (S1–S6), two capacitors, and a Battery CC-CV block that can both inject and extract current depending on the system’s state-of-charge (SOC). The current flow is dynamically controlled based on SOC feedback, voltage readings, and a relay mechanism to toggle modes. A PI controller regulates behavior during charging and discharging. The goal is to maintain safe and efficient balancing under varying SOC conditions using only local cell information and logic-driven control blocks.
Technical Specifications:
- Battery: 3 Li-ion cells in series, each 27 Ah
- Capacitors: 0.5 F each (2 total)
- Switches: 6 total; closed resistance = 0.00734 Ω, open conductance = 1e-5 S
- Switching control: 50% duty cycle, Tper = 0.006 s
- Initial SOCs: Cell 1 = 0.8, Cell 2 = 0.75, Cell 3 = 0.7
- Battery CC-CV behavior with max voltage = 4.1 V
- PI Controller: Proportional gain = 100, Integral gain = 10
- Feedback channels include SOC and voltage monitoring through ZOH blocks
- Relay logic is used to switch between injecting and withdrawing current
- Final current output is passed through a control logic layer before being applied to the Battery CC-CV block
Design Task:
You are given a redacted system diagram with 9 missing functional blocks labeled A through J (excluding H, which is not used). Each label corresponds to a required Simulink or logic block that enables correct operation of the system. Your task is to infer and name the correct block for each label based only on your understanding of the system architecture and its operational requirements.
To assist, here are examples of Simulink or logical block types that may appear somewhere in the system (not in any particular order, and not mapped to any specific label):
- max
- chargingenabled
- cellvoltage
- currentwhencharging
- currentwhendischarging
- gain
- constant
- relay
- current output port
You must **not assume the ordering** or directly match these terms to any label. Instead, you must analyze the described system behavior and infer the correct Simulink block for each missing label. Do not include any explanations, justifications, or descriptions — only provide the mapping.
Expected Output Format:
Return a dictionary in the following format, using strings that correspond to valid Simulink block types or logic expressions (no comments, no extra spaces, no Markdown, no special control characters):
{
"A": "<Simulink block or logic>",
"B": "<Simulink block or logic>",
"C": "<Simulink block or logic>",
"D": "<Simulink block or logic>",
"E": "<Simulink block or logic>",
"F": "<Simulink block or logic>",
"G": "<Simulink block or logic>",
"I": "<Simulink block or logic>",
"J": "<Simulink block or logic>"
}
| Kojo Vandyck |
AM_03 | 1,159 | Robotics | ## Task Description
Your task is to navigate a robot around static obstacles and walking pedestrians.
The map is a 30 by 30 grid. The origin, i.e. (x,y) = (0,0), is at the bottom left of the grid.
x-axis grows to the right and y-axis grows upwards.
There are three static rectangular obstacles formatted in ((x1, y1), (x2, y2)) that describe the
bottom left and top right corners of the obstacle box:
[
((5, 0), (7, 15)),
((10,20), (20,30)),
((15, 5), (30, 10))
]
There are pedestrians walking around and their trajectory is
stored as a list of tuples (t, x, y), where t is number of seconds from 0 to 29,
and x and y are the coordinates of the bottom left corner in the grid.
The pedestrians and robots are both 2 by 2 in size.
Their paths are as follows:
'ped1': [ (0,1,1),
(1,1,2),
(2,1,4),
(3,1,6),
(4,1,7),
(5,1,9),
(6,1,11),
(7,2,13),
(8,2,14),
(9,3,16),
(10,6,16),
(11,7,15),
(12,8,13),
(13,9,12),
(14,10,11),
(15,11,11),
(16,12,11),
(17,13,11),
(18,14,11),
(19,15,11),
(20,16,11),
(21,17,11),
(22,18,11),
(23,19,11),
(24,20,11),
(25,21,11),
(26,22,11),
(27,23,11),
(28,24,11),
(29,25,11)
],
'ped2': [ (0,25,28),
(1,25,28),
(2,25,26),
(3,25,24),
(4,25,22),
(5,25,20),
(6,25,18),
(7,25,16),
(8,25,14),
(9,24,12),
(10,22,12),
(11,20,12),
(12,19,12),
(13,17,12),
(14,16,12),
(15,16,12),
(16,15,13),
(17,14,15),
(18,12,16),
(19,10,16),
(20,8,16),
(21,6,16),
(22,5,16),
(23,4,16),
(24,4,17),
(25,4,18),
(26,4,19),
(27,4,20),
(28,4,21),
(29,4,22)
],
'ped3': [ (0, 25, 2),
(1, 24, 2),
(2, 23, 2),
(3, 22, 2),
(4, 21, 2),
(5, 20, 2),
(6, 19, 2),
(7, 18, 2),
(8, 17, 2),
(9, 16, 2),
(10,15,2),
(11,14,2),
(12,13,2),
(13,12,2),
(14,11,2),
(15,10,2),
(16,9,3),
(17,8,4),
(18,8,6),
(19,8,8),
(20,8,10),
(21,8,12),
(22,8,14),
(23,8,16),
(24,8,18),
(25,8,20),
(26,8,22),
(27,8,24),
(28,8,26),
(29,8,28)
]
The robot must start at (17, 2), and hit goals A and B one after another in any order.
Goal A is positioned at (5, 20) and Goal B at (25, 24).
You must provide a list of tuples in (t,x,y) format that is free of collisions from
both pedestrians and static rectangular obstacles.
The speed limit of robot in either x and y direction is 2 between each time step.
This means that the robot can move in the x direction 2 steps and y direction 2 steps and be ok.
You will be evaluated on the following:
- Starting position at t=0 is correct
- Hits Goal A at some point in time
- Hits Goal B at some point in time
- No collision between robot and static obstacles
- No collision between robot and walking pedestrians
- Does not exceed top speed | Asher Mai |
YJ_02 | 240 | Structure Design | ## Task Description
In this task, you are required to perform a topology optimization to design an optimal cantilever beam structure that minimizes the compliance (maximizes stiffness) under a given volume constraint. The beam is fixed on the left edge and subjected to a downward load at the bottom right corner. The design domain is discretized into a uniform rectangular grid, and material is distributed in this domain to achieve the best structural performance.
## Input Parameters
### Material properties
young = 1
poisson = 0.3
#### Constraints
Emin = 1e-9
volfrac = 0.4
move = 1
#### Mesh dimensions
nelx = 10
nely = 10
## Task
Use the input parameters to set up a topology optimization problem for a cantilever beam. Your task is to:
- Simulate the optimization process.
- Ensure that the design adheres to the specified volume fraction.
- Output the final material distribution, y_hat, and report the minimal compliance value, named C_y_hat, obtained after convergence.
- y_hat must be a matrix where each element ranges from 0 to 1 inclusively.
| Yilan Jiang |
YF_01 | 483 | Structure Design | ## Task Description
In this task, you are required to determine a suitable **thickness (`Th`)** for an L-shaped steel beam, which will be subjected to a horizontal load and evaluated using 3D structural simulation in MATLAB’s PDE Toolbox.
The L-shaped beam is formed by extruding a 2D profile composed of a horizontal and vertical segment connected by a fillet arc. The extrusion occurs in the z-direction to produce a 3D object.
You are given the following fixed parameters:
- `Lh = 50` mm (Horizontal length)
- `Lv = 80` mm (Vertical length)
- `Rf = 20` mm (Fillet radius)
- `Height = 50` mm (Extrusion height)
- External surface traction: `[10, -20, 0]` N/mm² applied to Face ID = 5
- Face ID = 4 is fixed
- Material properties:
- Young’s modulus: 21,000 MPa
- Poisson’s ratio: 0.3
The beam will be evaluated using a static linear elasticity model. The performance criterion is that the **maximum displacement in the z-direction (`uz`) must be less than 0.1 mm**.
The score depends on the ratio of the maximum measured displacement to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the beam earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero.
---
### Task
Your task is to:
- Propose a structurally sound value for `Th` (thickness of the beam, in mm), you need to numerically compute the Th, not reply with your previous experience.
- Provide a brief justification for your choice of thickness, considering stiffness, loading, and geometric constraints, you must give the computation process
You do **not** need to evaluate the displacement yourself. The simulation and evaluation will be done separately.
| Yufan Zhang |
YF_06 | 431 | Structure Design | ## Task Description
In this task, you are required to determine a suitable thickness t (in mm) for a 3D rectangular steel plate of dimensions 1000×500×t mm, loaded by a uniform transverse pressure and with all four side faces fixed. The evaluation will be performed via MATLAB’s PDE Toolbox.
You are given the following fixed parameters:
Fixed parameters:
Geometry:
- Length L=1000 mm
- Width W=500 mm
- Thickness t mm (design variable)
- Material properties:
- Young’s modulus: 210,000 MPa
- Poisson’s ratio: 0.3
- Density 7850kg/m^3
- boundary condition
All four side faces (the faces at X=0, X=L, Y=0, Y=W) are fixed.
- loading
Uniform pressure p= 2 N/mm² on the top face (Z=t).
Performance criterion:
The maximum nodal displacement must satisfy δ max < 0.5 mm
The score depends on the ratio of the maximum measured deflection to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the structure earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero.
---
### Task
Your task is to:
- a numerical value of plate thickness t (in mm) that ensures 1.0 mm under the given load and boundary conditions.
- justify your choice of t, considering the plate’s bending stiffness, the applied pressure, and the geometric proportions. You need to compute the result
You do **not** need to evaluate the angle yourself. The simulation and evaluation will be done separately.
| Yufan Zhang |
qjlim2_02 | 270 | Signal Processing | ## Background
Strip dipole antennas are simple, efficient, and widely used in wireless communication systems for their broad bandwidth and omnidirectional radiation characteristics in the azimuth plane.
In this task, you are to design a strip dipole antenna that meets the specified performance objectives and constraints outlined below.
The dipole is centered at the origin and aligned along the x-axis, with its arms extending symmetrically in the ±x directions. The feed point lies at the center of the dipole, located in the x-y plane. The antenna is made of highly conductive material such as copper and is radiating in free space.
## Task Objective and Constraints
Your task is to design a Strip dipole antenna that meets the following specifications:
- Resonant Frequency: 3 GHz (S11 <= –10 dB)
- Bandwidth: >= 100 MHz
- Gain: >= 2 dBi
- Space Constraint [ Length × Width ]: <= 100 mm × 100 mm
## Design Inputs
Determine the optimal design parameters to satisfy the task objectives:
- Length of Metallic Patch in mm: length_mm
- Width of Metallic Patch in mm: width_mm
- Confidence of your design: confidence
## Additional Note
The width of the antenna should generally be at most 1/10 of the length of the antenna.
| Qi Jian Lim
|
YJ_03 | 343 | Structure Design | ## Task Description
This optimisation problem aims to minimise the Mode I stress-intensity factor (SIF) at the crack tip, thereby maximising fatigue-crack-propagation life and enhancing damage tolerance of the structural component. Material is selectively distributed within the design domain so that the resulting layout reduces the SIF while respecting a prescribed material-usage limit.
## Input Parameters
### Material properties
young = 1 # Young’s modulus (normalised)
poisson = 0.3 # Poisson’s ratio
ext_stiff = 0.0 # Stiffness of surrounding “void” (external) material
### Constraints
Emin = 1e-9 # Minimum ersatz stiffness for void elements
volfrac = 1.1 # Maximum allowable volume fraction
move = 0.25 # Maximum density change per iteration
### Mesh definition
nelx = 10 # Number of finite-element columns (x-direction)
nely = int(np.round(nelx/1.25*1.2/2))
crack_length= 2 # Initial edge-crack length in elements
## Task
Use the input parameters to set up a topology optimization problem to minimize the stress-intensity factor. Your task is to:
- Simulate the optimization process.
- Ensure that the design adheres to the specified material properties, constraints, and mesh definitions.
- Output the final material distribution, y_hat, and report the minimal stress-intensity factor, named K_y_hat, obtained after convergence.
- y_hat must be a matrix where each element ranges from 0 to 1 inclusively.
| Yilan Jiang |
DL_02 | 3,399 | Computer Architecture Design | # Solid-State Drive Design Task - Parmeter Set with Constraints
In this problem, you will finalize a set of Solid-State Drive (SSD) designs that meet the performance requirements for a specific workload type. In this problem, you need to identify an optimized parameter set that satisfy the given performance criteria under given constraints (e.g., the capacity constriants need the multiple of several parameters to stay in a given range).
## Background

The internal architecture of a typical SSD is presented in the above Figure. An SSD consists of five major components: a set of flash memory packages, an SSD controller having embedded processors like ARM, off-chip DRAM (SSD DRAM), flash controllers, and the I/O interface that includes SATA and NVMe protocols. The flash packages are organized in a hierarchical manner. Each SSD has multiple channels, and each channel can process read/write commands independently. Each channel is shared by multiple flash packages. Each package has multiple flash chips. Within each chip, there are multiple planes. Each plane includes multiple flash blocks, and each block has multiple flash pages. The page size varies in different SSDs. When a free flash page is written once, that page is no longer available for future writes until that page is erased. However, erase operation is expensive and performed at block granularity. As each flash block has limited endurance, it is important for blocks to age uniformly (i.e., wear leveling). Modern SSD controllers employ out-of-place write, GC, and wear leveling to overcome these shortcomings and maintain indirections for the address translation in their flash translation layer (FTL).
In this problem, our aim is to design SSDs that allow developers to customize SSD hardware according to the application needs.
## Task Description
SSD customers typically evaluate SSD performance using key metrics including I/O throughput, average latency and tail latency. In this section, you should optimize the given SSD configuration by tuning only the layout related parameters ("Overprovisioning_Ratio", "Flash_Channel_Count", "Chip_No_Per_Channel", "Die_No_Per_Chip", "Plane_No_Per_Die",
"Block_No_Per_Plane", "Page_No_Per_Block"). You should identify a set of these parameter that satisfy the capacity constraint (The total usable capacity, not considering the over provisioning, should be around 2TB, specifically, 1.7TB - 2.1TB) and reach the performance requirements. If the requirement cannot be reached, please answer "impossible" instead of the final parameter value. Please always provide your reasoning.
### Formal Problem Definition
Given a parameter set $S$ and a workload set $W$, tune the parameters ("Overprovisioning_Ratio", "Flash_Channel_Count", "Chip_No_Per_Channel", "Die_No_Per_Chip", "Plane_No_Per_Die",
"Block_No_Per_Plane", "Page_No_Per_Block") $s \in S$ that affects the I/O throughput, average latency or both of them for each workload $w \in W$. Specifically, address the following question:
If we want to get 20\% performance improvement on I/O throughput or on average latency comparing to the baseline configuration (see next section), while also satisfy the capacity constraint (The total capacity, not considering the over provisioning, should be around 2TB, specifically, 1.7TB - 2.1TB) how should we tune these parameters? If this is impossible, please answer "impossible" instead of providing the number and explain why. Note that the correlation between performance and parameter values are usurally not linear.
### Configuration Set
Please provide answer for each parameter listed in the table below. The third column of this table listed the baseline configuration (Samsung 983 DCT 1.92TB SSD). We provide typical values for each parameter, the tuned parameter should follow the general trend in the listed parameters (e.g., number of channels should be descrete numbers).
For convenience of reasoning, we provide all the parameters for the baseline. Please only tune the speciftied parameters ("Overprovisioning_Ratio", "Flash_Channel_Count", "Chip_No_Per_Channel", "Die_No_Per_Chip", "Plane_No_Per_Die",
"Block_No_Per_Plane", "Page_No_Per_Block") and the tuning range is specified in the listed parameters.
| Parameter Name | Description | Baseline |
|:--------------------------|:----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------|:-----------|
| PCIe_Lane_Bandwidth | The PCIe bandwidth per lane in GB/s. Typical values: 0.5, 1.0, 2.0, 4.0, 8.0. | 8.0 |
| PCIe_Lane_Count | The number of PCIe lanes. Typical values: 1, 2, 4, 8, 16. | 4 |
| HostInterface_Type | The type of host interface. Typical values: NVME, SATA. | NVME |
| IO_Queue_Depth | the length of the host-side I/O queue. Typical values: 4, 8, 16, 32, 64, 128, 256. | 16 |
| Queue_Fetch_Size | The maximum number of requests that can be served in parallel in a queue. Typical values: 4, 8, 16, 32, 64, 128, 256. | 16 |
| Data_Cache_Capacity | The size of the DRAM Data Cache in bytes. Typical values:100663296, 167772160, 234881024, 301989888, 369098752, 436207616. | 536870912 |
| Data_Cache_DRAM_Row_Size | The size of the DRAM rows in bytes. values:1024, 2048, 4096, 8192, 16384. | 4096 |
| Data_Cache_DRAM_Data_Rate | The DRAM data transfer rate in MT/s. Typical values:100, 200, 400, 800, 1600, 2133, 2400, 2666, 3200. | 800 |
| Data_Cache_DRAM_Data_Burst_Size | The number of bytes that are transferred in one DRAM burst (depends on the number of DRAM chips). Typical values: 1, 2, 4, 8, 16. | 8 |
| Data_Cache_DRAM_tRCD | The value of the timing parameter tRCD in nanoseconds used to access DRAM in the data cache. Typical values:4, 7, 14, 21, 28 | 9 |
| Data_Cache_DRAM_tCL | The value of the timing parameter tCL in nanoseconds used to access DRAM in the data cache. Typical values:4, 7, 14, 21, 28 | 9 |
| Data_Cache_DRAM_tRP | The value of the timing parameter tRP in nanoseconds used to access DRAM in the data cache. Typical values:4, 7, 14, 21, 28 | 9 |
| Address_Mapping | The logical-to-physical address mapping policy implemented in the Flash Translation Layer (FTL).Typical values: PAGE_LEVEL, HYBRID | PAGE_LEVEL |
| CMT_Capacity | The size of the SRAM/DRAM space in bytes used to cache the address mapping table (Cached Mapping Table). Typical values: 67108864, 134217728, 201326592, 268435456, 335544320, 402653184, 469762048, 536870912 | 268435456 |
| Plane_Allocation_Scheme | The scheme for plane allocation priorities (C-Channel, W-Way, D-Die, P-Plane). Typical values: CWDP, CWPD, CDWP, CDPW, CPWD, CPDW, WCDP, WCPD, WDCP, WDPC, WPCD, WPDC, DCWP, DCPW, DWCP, DWPC, DPCW, DPWC, PCWD, PCDW, PWCD, PWDC, PDCW, PDWC | CWDP |
| Overprovisioning_Ratio | The ratio of reserved storage space with respect to the available flash storage capacity. Typical values:0.126, 0.2, 0.3, 0.4, 0.5 | 0.126 |
| GC_Exect_Threshold | The threshold for starting Garbage Collection (GC). Typical values: 0.1, 0.15, 0.2, 0.25, 0.3 | 0.05 |
| GC_Block_Selection_Policy | The GC block selection policy. Typical values: GREEDY, RGA, RANDOM, RANDOM P, RANDOM PP, FIFO | GREEDY |
| Use_Copyback_for_GC | Whether GC Copyback is enabled. Typical values: true, false | false |
| Preemptible_GC_Enabled | The toggle to enable pre-emptible GC. Typical values:true, false | true |
| GC_Hard_Threshold | The threshold to stop pre-emptible GC execution. Typical values:0.1, 0.15, 0.2, 0.25, 0.3 | 1 |
| Dynamic_Wearleveling_Enabled | The toggle to enable dynamic wear-leveling. Typical values: true, false | true |
| Static_Wearleveling_Enabled | The toggle to enable static wear-leveling. Typical values: true, false | true |
| Static_Wearleveling_Threshold | The threshold for starting static wear-leveling. Typical values: 50, 60, 70, 80, 90, 100 | 100 |
| Preferred_suspend_erase_time_for_read | The reasonable time to suspend an ongoing flash erase operation in favor of a recently-queued read operation. Typical values:100000, 200000, 300000, 400000, 500000 | 100000 |
| Preferred_suspend_erase_time_for_write | The reasonable time to suspend an ongoing flash erase operation in favor of a recently-queued read operation. Typical values:100000, 200000, 300000, 400000, 500000 | 100000 |
| Preferred_suspend_write_time_for_read | The reasonable time to suspend an ongoing flash erase operation in favor of a recently-queued program operation. Typical values:100000, 200000, 300000, 400000, 500000 | 100000 |
| Flash_Channel_Count | The number of flash channels in the SSD back end. Typical values:4,6,8,10,12,14,16,18,20 | 8 |
| Flash_Channel_Width | The width of each flash channel in byte. Typical values:1, 2, 4, 8 | 8 |
| Channel_Transfer_Rate | The transfer rate of flash channels in the SSD back end in MT/s. Typical values:100, 200, 333, 800 | 800 |
| Chip_No_Per_Channel | The number of flash chips attached to each channel in the SSD back end. Typical values:2, 4, 8, 12, 16, 20 | 4 |
| Flash_Technology | Typical values:TLC, MLC, SLC | MLC |
| CMD_Suspension_Support | The type of suspend command support by flash chips. Typical values:NONE, PROGRAM, PROGRAM ERASE, ERASE | None |
| Page_Read_Latency_LSB | The latency of reading LSB bits of flash memory cells in nanoseconds. Typical values:25000, 50000, 59975, 75000, 100000 | 5000 |
| Page_Read_Latency_CSB | Similar as above. Typical values:0, 25000, 50000, 75000, 100000 | 0 |
| Page_Read_Latency_MSB | Similar as above. Typical values:25000, 50000, 75000, 100000, 104956 | 10000 |
| Page_Program_Latency_LSB | Similar as above. Typical values:82062, 250000, 500000, 750000, 1000000 | 30000 |
| Page_Program_Latency_CSB | Similar as above. Typical values:0, 250000, 500000, 750000, 1000000 | 0 |
| Page_Program_Latency_MSB | Similar as above. Typical values:250000, 500000, 750000, 1000000, 1250000, 1500000, 1750000, 2000000, 2250000 | 800000 |
| Block_Erase_Latency | The latency of erasing a flash block in nanoseconds.Typical values:3000000, 3800000 | 1000000 |
| Block_PE_Cycles_Limit | The PE limit of each flash block. Typical values:10000, 20000, 30000, 40000, 50000 | 10000 |
| Suspend_Erase_Time | The time taken to suspend an ongoing erase operation in nanoseconds.Typical values:700000, 800000, 900000, 1000000, 1100000 | 700000 |
| Suspend_Program_Time | The time taken to suspend an ongoing program operation in nanoseconds. Typical values:60000, 70000, 80000, 90000, 100000 | 100000 |
| Die_No_Per_Chip | The number of dies in each flash chip. Typical values:4,6,8, 10, 12, 14, 16, 18, 20 | 8 |
| Plane_No_Per_Die | The number of planes in each die. Typical values:2,4,6,8,10 | 2 |
| Block_No_Per_Plane | The number of flash blocks in each plane. Typical values:512,1024,1364, 2048, 4096, 8192 | 1364 |
| Page_No_Per_Block | The number of physical pages in each flash block. Typical values:512, 768, 1024, 2048, 4096 | 768 |
| Page_Capacity | The size of each physical flash page in bytes. Typical values:4096 | 4096 |
| Page_Metadat_Capacity | The size of the metadata area of each physical flash page in bytes. Typical values:224, 448, 672, 896, 1120 | 448 |
### Target Workload Set
Please provide answer for each workload mentioned below:
#### Real-world Workloads
These workloads represents typical storage-intensive application patterns.
| **Workload Category** | **Description** |
|-----------------------|-----------------|
| Big Data Analytics | MapReduce workloads running in data centers. |
| Cloud Storage | Cloud storage workloads running in data centers. |
| Key-Value Store | YCSB benchmarks are executed against RocksDB. |
| Maps | Maps workloads running on enterprise servers. |
| Database | TPCC/TPCH executed against Windows SQL Server. |
| WebSearch | WebSearch services trace from UMassTraceRepository. |
| Advertisement | Advertisement workloads running on servers. |
## Response Instruction
Your response should be structured in the following format:
For each workload, create a workload_result class for the tuning result. In each workload_result, you should specify the parameters based on the values we provided.
Please strictly follow the format, do not include any other text or comments.
| Daixuan Li |
AM_02 | 938 | Robotics | ## Task Description
Your task is to navigate two robots around static obstacles and walking pedestrians.
The map is a 30 by 30 grid. The origin, i.e. (x,y) = (0,0), is at the bottom left of the grid.
x-axis grows to the right and y-axis grows upwards.
There are three static obstacles formatted in ((x1, y1), (x2, y2)) that describe the
bottom left and top right corners of the rectangular obstacles:
THe four corners that define the static obstacles are:
[
((5, 0), (7, 15)),
((10,20), (20,30)),
((15, 5), (30, 10))
]
There are pedestrians walking around and their trajectory is
stored as a list of tuples (t, x, y), where t is number of seconds from 0 to 19,
and x and y are the coordinates of the bottom left corner in the grid.
The pedestrians and robots are both 2 by 2 in size.
Their paths are as follows:
'ped1': [ (0,1,1),
(1,1,2),
(2,1,4),
(3,1,6),
(4,1,7),
(5,1,9),
(6,1,11),
(7,2,13),
(8,2,14),
(9,3,16),
(10,6,16),
(11,7,15),
(12,8,13),
(13,9,12),
(14,10,11),
(15,11,10),
(16,12,9),
(17,12,8),
(18,12,7),
(19,12,6)
],
'ped2': [ (0,25,28),
(1,25,28),
(2,25,26),
(3,25,24),
(4,25,22),
(5,25,20),
(6,25,18),
(7,25,16),
(8,25,14),
(9,24,12),
(10,22,12),
(11,20,12),
(12,19,12),
(13,17,12),
(14,16,12),
(15,16,12),
(16,15,13),
(17,14,15),
(18,12,16),
(19,10,16)
],
'ped3': [ (0, 25, 2),
(1, 24, 2),
(2, 23, 2),
(3, 22, 2),
(4, 21, 2),
(5, 20, 2),
(6, 19, 2),
(7, 18, 2),
(8, 17, 2),
(9, 16, 2),
(10,15,2),
(11,14,2),
(12,13,2),
(13,12,2),
(14,11,2),
(15,10,2),
(16,9,3),
(17,8,4),
(18,8,6),
(19,8,8)
]
The start and end positions for the first robot are (17, 2) and (5, 24).
The start and end positions for the second robot are (5, 25) and (25, 25).
You must provide two lists of tuples in (t,x,y) format that is free of collisions from
both pedestrians and static rectangular obstacles.
The speed limit of robot in either x and y direction is 2 between each time step.
This means that the robot can move in the x direction 2 steps and y direction 2 steps and be ok.
Make sure you navigate around both the walking pedestrians and static obstacles.
You will be evaluated on the following for each of the two robots:
- Starting position at t=0 is correct
- Ending position at t=19 is correct
- No collision between robot and static obstacles
- No collision between robot and walking pedestrians
- Does not exceed top speed | Asher Mai |
YZ_01 | 511 | Signal Processing | ## Task Description
In this task, you are required to design a multi-stage sample rate converter for an LTE receiver. The converter must reduce various input sample rates (for example, 125, 140, or 150 Msps) down to a lower baseband rate while meeting specific passband and stopband requirements. The converter is implemented as a three-stage filter chain. In the first stage, a Farrow rate converter makes a fine adjustment to the sample rate by a predefined factor. The signal is then processed by two decimating FIR filters in the subsequent stages. Your goal is to determine the appropriate parameters for each stage to satisfy the LTE signal integrity constraints.
### Task 1
Your first task is to specify the top level parameters given the input rate FsADC = 150 MHz and the output rate FsLTERx = 30.72 MHz. The parameters and their requirements are as follows:
#### Parameters:
- Fpass, the passband cutoff frequency that accommodates the maximum possible LTE bandwidth of 10 MHz.
- Fstop, typically the Nyquist rate $\frac{FsLTERx}{2}$, can be adjusted if more out-of-band signal rejection is required.
- Ast, the stopband attenuation in dBs.
- Ap, the desired passband ripple.
### Task 2
Your second task is to determine the reduction factors(Factor_1 and Factor_2, both of which are integers greater than one) for the last two decimating FIR filters. First, the Farrow rate converter should be placed as far from the Nyquist bandwidth as possible. Next, verify that the converter meets the LTE requirements by confirming that the Error Vector Magnitude (EVM) remains within acceptable limits. The MATLAB code below calculates the EVM:
<code_block>
results.floatPointSRCEVM = SRCTestUtils.MeasureEVM(sigInfo,floatResamplerOut,FsLTERx);
disp([' floating point SRC RMS EVM: ' num2str(results.floatPointSRCEVM.RMS*100,3) ' %']);
disp([' floating point SRC Peak EVM: ' num2str(results.floatPointSRCEVM.Peak*100,3) ' %']);
</code_block>
the following requirements need to be satisfied:
- floating point SRC RMS EVM: <= 0.03%
- floating point SRC Peak EVM: <= 0.07%
- Factor_2 * FsLTERx - 2 * Fpass: > 0 MHz
| Yizhou Zhao |
XZ_01 | 395 | Robotics | ## Task Description
You are given a 2D gridmap of a construction site, where each node is represented as a triplet (x, y, 0/1). This map follows the specifications below:
-The construction site spans an area that is 50 meters wide and 40 meters long.
-Each grid cell is 1 meter × 1 meter.
-The bottom-left corner of the site map corresponds to world coordinates (0, 0).
-The value 0 or 1 in (x, y, 0/1) represents: A value of 1 denotes an obstacle (construction material, equipment, barricade, etc.), while a 0 indicates traversable space.
A small inspection robot's starting position is at the site entrance (0,0,0), and we set the goal position to the far corner inspection point (49,39,0). Suppose this inspection robot can only navigate on grid nodes (i.e., x and y values are integers). Find the shortest way from the entrance to the inspection point without hitting any obstacles.
The construction site contains the following obstacles:
-A vertical wall from (10,5) to (10,35)
-A horizontal wall from (10,20) to (40,20)
-A vertical wall from (30,0) to (30,15)
-A cluster of obstacles in the region from (20,25) to (25,30)
-Several random obstacles at: (15,10), (25,5), (35,25), (40,30), (45,15)
## Required Results:
1. The complete path as an ordered list of coordinates from start to goal
2. The total path length (in meters)
3. The algorithm used (A*, Dijkstra, etc.)
4. The number of nodes explored during the search
5. Whether 4-connected or 8-connected movement was used
6. Execution time of the algorithm
| Xiayu Zhao
|
qjlim2_04 | 290 | Signal Processing | ----------
Background
----------
Cylindrical monopole antennas are vertically oriented antennas commonly used for broadband and omnidirectional communication in the azimuth plane. They are typically placed over a large ground plane and function as a half-wave dipole with one arm mirrored by the ground.
In this task, you are to design a cylindrical monopole antenna that meets the specified performance objectives and constraints outlined below.
The monopole is centered at the origin and aligned along the z-axis, extending vertically from the ground plane. The antenna is made of a highly conductive material such as copper and radiates in free space. It is mounted on a perfectly conducting square ground plane of size 300 m × 300 m and is fed using a 70-ohm lumped port at its base.
------------------------------
Task Objective and Constraints
------------------------------
Your task is to design a cylindrical monopole antenna that meets the following specifications:
Resonant Frequency: 0.487 GHz (S11 ≤ –10 dB)
Bandwidth: ≥ 10 MHz
Gain: ≥ 0 dBi
-------------
Design Inputs
-------------
Determine the optimal design parameters to satisfy the task objectives:
Height of cylindrical monopole in mm: height_mm
Radius of cylindrical monopole in mm: radius_mm
Confidence of your design: confidence
----------------
Additional Note
----------------
The radius of the antenna should generally be at most 1/20 of the length of the antenna.
| Qi Jian Lim |
TB_05 | 547 | Analog Integrated Circuit Design | ## Task Description (Sizing Only)
You are asked to design a Common-Source amplifier topology with a resistive load. The amplifier consists of an NMOS transistor, a load resistor RL, and an ideal voltage source VGS. The goal is to meet the given small-signal and DC specifications using simulation.
The output should be only the TEMPLATE format provided under, which is a SPICE-format netlist used in Cadence for evaluation. You may only adjust the parameters of NMOS( W1, L1, M1), the parameters of R0 (R0, M0) and V0.
The amplifier must meet the following specs under CL = 2 pF and VDD = 1.8 V:
Testbench Configuration
The testbench is a unity-gain buffer connection (feedback from output vout to inverting input vin).
### Task 1 — Device Sizing
**Process Rule**
All MOSFETs must instantiate **exactly** the foundry models
`tsmc18dP` (PMOS) and `tsmc18dN` (NMOS).
### Task 2 — Performance Verification
Using the provided Cadence/SPECTRE testbench, verify that your OTA design satisfies the following specifications:
| Parameter | Spec Target |
|----------------|---------------------|
| DC Gain | −5 V/V ± 2% |
| 3 dB Bandwidth | ≥ 50 Mrad/s |
| Output Voltage | 900 mV ± 2% |
---
### <TEMPLATE>
```spice
// Library name: MP1
// Cell name: cs_amp
// View name: schematic
subckt cs_amp VDD VSS vin_a vin_b vout
NO (vout vin_a VSS VSS) tsmc18dN w=W1 l=L1M as=W1 * 2.5 * (180.0n) ad=W1 * 2.5 * (180.0n) \
ps=(2 * W1) + (5 * (180.0n)) pd=(2 * W1) + (5 * (180.0n)) m=M1 \
region=sat
V0 (vin_b VSS) vsource type=dc dc=V0
R0 (VDD vout) resistor r=R0 m=M0
ends cs_amp
// End of subcircuit definition.
// Library name: MP1
// Cell name: dut
// View name: schematic
I0 (net1 net2 net3 net4 net5) cs_amp
| Tianle Sang & Beixiao Zhu |
TB_03 | 1,103 | Analog Integrated Circuit Design | ## Task Description (OTA Sizing Only)
You are given a 5-transistor differential-to-single-ended OTA topology whose schematic has already been instantiated.
The full netlist is shown in the code block **<OTA_TEMPLATE>** below, where every
MOSFET width/length placeholder appears as symbols `W0…`, `L0…`, etc.
The output should be only the OTA_TEMPLATE format provided under.
### Task 1 — Device Sizing
**Process Rule**
All MOSFETs must instantiate **exactly** the foundry models
`tsmc18dP` (PMOS) and `tsmc18dN` (NMOS).
You **may only adjust** the parameters `W`, `L`, and `m` (multiplier).
Do **not** edit or override any other model settings, corners, or temperatures.
Replace every `W*`, `L*`, and `M*` placeholder in the template with concrete numeric
values (µm or Ω) so that the design meets the required performance.
**Do not** change device connectivity, add/delete devices, or alter pin names.
Task 2 — Performance Verification
Using the provided Cadence/SPECTRE testbench (differential input OTA, CL = 2 pF, VDD = 1.4 V, VSS = 0 V), verify that your OTA design satisfies the following specifications:
| Spec | Target |
|-------------------------------|-------------------------|
| DC differential-mode gain AV0 | ≥ 40 dB |
| Unity-gain frequency fUGF | ≥ 50 MHz |
| Common-mode rejection ratio CMRR | ≥ 80 dB |
| Input common-mode range ICMR | ≥ 800 mV* |
*ICMR pass rule: for VCM ∈ {VSS, VDD}, each point must achieve
fUGF(VCM) ≥ 50 MHz, AV(VCM) ≥ AV0 − 3 dB, and CMRR(VCM) ≥ CMRR0 − 3 dB,
where AV0 and CMRR0 are the values measured at a nominal VCM.
---
### <OTA_TEMPLATE>
```spice
// Library name: MP3
// Cell name: ota
// View name: schematic
subckt ota VDD VSS ibp10u vin vip vout
N3 (ibp10u ibp10u VSS VSS) tsmc18dN w=30.015u l=3.015u as=1.35068e-11 \
ad=1.35068e-11 ps=60.93u pd=60.93u m=M3 region=sat
N2 (net7 ibp10u VSS VSS) tsmc18dN w=480.015u l=3.015u as=2.16007e-10 \
ad=2.16007e-10 ps=960.93u pd=960.93u m=M2 region=sat
N5 (vout vin net7 net7) tsmc18dN w=W5 l=L5 as=W5 * 2.5 * (180.0n) ad=W5 * 2.5 * (180.0n) \
ps=(2 * W5) + (5 * (180.0n)) pd=(2 * W5) + (5 * (180.0n)) m=M5 \
region=sat
N4 (net8 vip net7 net7) tsmc18dN w=W4 l=L4 as=W4 * 2.5 * (180.0n) ad=W4 * 2.5 * (180.0n) \
ps=(2 * W4) + (5 * (180.0n)) pd=(2 * W4) + (5 * (180.0n)) m=M4 \
region=sat
P1 (net8 net8 VDD VDD) tsmc18dP w=W1 l=L1 as=W1 * 2.5 * (180.0n) ad=W1 * 2.5 * (180.0n) \
ps=(2 * W1) + (5 * (180.0n)) pd=(2 * W1) + (5 * (180.0n)) m=M1 \
region=sat
P0 (vout net8 VDD VDD) tsmc18dP w=W0 l=L0 as=W0 * 2.5 * (180.0n) ad=W0 * 2.5 * (180.0n) \
ps=(2 * W0) + (5 * (180.0n)) pd=(2 * W0) + (5 * (180.0n)) m=M0 \
region=sat
ends ota
// End of subcircuit definition.
// Library name: MP3
// Cell name: dut
// View name: schematic
I16 (net1 net2 net6 net4 net3 net5) ota
| Tianle Sang & Beixiao Zhu |
TB_04 | 1,129 | Analog Integrated Circuit Design | ## Task Description
You are asked to design a 5 transistor OTA topology and its bias current circuit in one netlist.
The output should be the same format as the ### <TEMPLATE> provided under. Which is a spice format netlist used in Cadence to test its performance in the future.
The template shows the name and port of different components and connection between them, but it is not the correct structure for this OTA task. You should create a different netlist to solve this problem.
Testbench Configuration
The testbench is a unity-gain buffer connection (feedback from output vout to inverting input vin).
VDD = 1.4 V
VSS = 0 V
Output load: CL = 2 pF capacitor at vout.
A DC current source IB = 10μA is connected to the OTA's bias input ibp10u.
A small-signal AC source VSTB is applied to vin for AC analysis.
A DC voltage sweep (Vstep + VCM) is used at vip
### Task 1 — Device Sizing
**Process Rule**
All MOSFETs must instantiate **exactly** the foundry models
`tsmc18dP` (PMOS) and `tsmc18dN` (NMOS).
### Task 2 — Performance Verification
Using the provided Cadence/SPECTRE testbench (differential input OTA, CL = 2 pF, VDD = 1.4 V, VSS = 0 V), verify that your OTA design satisfies the following specifications:
| Spec | Target |
|-------------------------------|-------------------------|
| DC differential-mode gain AV0 | ≥ 40 dB |
| Unity-gain frequency fUGF | ≥ 50 MHz |
| Common-mode rejection ratio CMRR | ≥ 80 dB |
| Input common-mode range ICMR | ≥ 800 mV* |
*ICMR pass rule: for VCM ∈ {VSS, VDD}, each point must achieve
fUGF(VCM) ≥ 50 MHz, AV(VCM) ≥ AV0 − 3 dB, and CMRR(VCM) ≥ CMRR0 − 3 dB,
where AV0 and CMRR0 are the values measured at a nominal VCM.
---
### <TEMPLATE>
```spice
// Library name: MP3
// Cell name: ota
// View name: schematic
subckt ota VDD VSS ibp10u vin vip vout
N3 (ibp10u ibp10u VSS VSS) tsmc18dN w=30.015u l=3.015u as=1.35068e-11 \
ad=1.35068e-11 ps=60.93u pd=60.93u m=M3 region=sat
N2 (net7 ibp10u VSS VSS) tsmc18dN w=480.015u l=3.015u as=2.16007e-10 \
ad=2.16007e-10 ps=960.93u pd=960.93u m=M2 region=sat
N5 (vout vin net7 net7) tsmc18dN w=W5 l=L5 as=W5 * 2.5 * (180.0n) ad=W5 * 2.5 * (180.0n) \
ps=(2 * W5) + (5 * (180.0n)) pd=(2 * W5) + (5 * (180.0n)) m=M5 \
region=sat
N4 (net8 vip net7 net7) tsmc18dN w=W4 l=L4 as=W4 * 2.5 * (180.0n) ad=W4 * 2.5 * (180.0n) \
ps=(2 * W4) + (5 * (180.0n)) pd=(2 * W4) + (5 * (180.0n)) m=M4 \
region=sat
P1 (net8 net8 VDD VDD) tsmc18dP w=W1 l=L1 as=W1 * 2.5 * (180.0n) ad=W1 * 2.5 * (180.0n) \
ps=(2 * W1) + (5 * (180.0n)) pd=(2 * W1) + (5 * (180.0n)) m=M1 \
region=sat
P0 (vout net8 VDD VDD) tsmc18dP w=W0 l=L0 as=W0 * 2.5 * (180.0n) ad=W0 * 2.5 * (180.0n) \
ps=(2 * W0) + (5 * (180.0n)) pd=(2 * W0) + (5 * (180.0n)) m=M0 \
region=sat
ends ota
// End of subcircuit definition.
// Library name: MP3
// Cell name: dut
// View name: schematic
I16 (net1 net2 net6 net4 net3 net5) ota
| Tianle Sang & Beixiao Zhu |
YH_01 | 385 | Digital Hardware Design | Write a Vivado HLS-compatible C++ function called gemm that performs matrix multiplication C = A * B where A is of size M×K, B is of size K×N, and C is of size M×N. Set M = N = K = 256. Implement tiling with block sizes TM = TN = TK = 64 to optimize data movement and reuse. Include the headers hls_stream.h, ap_int.h, and ap_axi_sdata.h for Vivado HLS compatibility. Use a tiled loop structure across the M, N, and K dimensions. For local storage, use on-chip buffers localA[TM][TK], localB[TK][TN], and localC[TM][TN]. Partition localA across dimension 2, localB across dimension 1, and localC fully across all dimensions, as required to achieve an initiation interval (II) of 1 in the innermost loops. Apply #pragma HLS PIPELINE II=1 to the inner loops to maximize throughput. Do not manually apply #pragma HLS UNROLL, because achieving II=1 with pipelining will automatically imply sufficient unrolling when the array partitioning is properly done. Assign appropriate Vivado HLS interface pragmas: use m_axi interfaces for A, B, and C arrays, each mapped to different memory bundles (gmem0, gmem1, gmem2 respectively), and assign s_axilite interfaces for A, B, C, and return control ports to allow CPU control. Insert a #pragma HLS DATAFLOW pragma to allow overlap of memory access and computation phases through streaming/pipelining. Function signature: void gemm(float A[M_SIZE][K_SIZE], float B[K_SIZE][N_SIZE], float C[M_SIZE][N_SIZE]). Optimize the model so that it maximizes the number of DSPs in the VCK5000. | Yingbing Huang, Greg Jun |
TB_02 | 1,068 | Analog Integrated Circuit Design | ## Task Description (FC‑OTA Sizing Only)
You are asked to design a folded‑cascode OTA topology including the folded-cascode and its bias current.
The output should be the same format as the ### <TEMPLATE> provided under. Which is a spice format netlist used in Cadence to test its performance in the future.
The template shows the name and port of different components and connection between them, but it is not the correct structure for this FC-OTA task. You should create a different netlist to solve this problem.
Testbench Configuration
The testbench is a unity-gain buffer connection (feedback from output vout to inverting input vin).
VDD = 1.4 V
VSS = 0 V
Output load: CL = 1 pF capacitor at vout.
A DC current source IB = 10μA is connected to the OTA's bias input ibn10u.
A small-signal AC source VSTB is applied to vin for AC analysis.
A DC voltage sweep (Vstep + VCM) is used at vip to verify input common-mode range (ICMR).
### Task 1 — Device Sizing
**Process Rule**
All MOSFETs must instantiate **exactly** the foundry models
`tsmc18dP` (PMOS) and `tsmc18dN` (NMOS).
### Task 2 — Performance Verification
Using the provided Cadence/SPECTRE testbench (unity‑gain buffer, CL = 1 pF, VDD = 1.4 V,
VCM = 600 mV), verify that the sized design satisfies:
| Spec | Target |
|------|--------|
| DC gain AV0 | ≥ 60 dB |
| Unity‑gain frequency fUGF | ≥ 50 MHz |
| Phase margin PM | ≥ 60° |
| DC input‑to‑output error \|vip,DC – vout,DC\| | ≤ 0.6 mV |
| Total DC current | ≤ 150 µA |
| Input common‑mode range (ICMR) | ≥ 600 mV* |
\*ICMR pass rule: for VCM ∈ {VSS, VSS+25 mV, …, VDD‑25 mV}, each point must achieve
AV0 ≥ 50 dB, fUGF ≥ 40 MHz, PM ≥ 45°, and \|vip,DC – vout,DC\| ≤ 1.8 mV.
---
### <TEMPLATE>
```spice
// Library name: mp_4
// Cell name: ota
// View name: schematic
subckt ota VDD VSS ibp10u vin vip vout
N3 (ibp10u ibp10u VSS VSS) tsmc18dN w=3u l=3u as=1.8e-12 ad=1.8e-12 \
ps=7.2u pd=7.2u m=1 region=sat
N5 (vout vin net2 net2) tsmc18dN w=600.0000u l=1.8u as=3.6e-10 \
ad=3.6e-10 ps=1.2012m pd=1.2012m m=1 region=sat
N1 (net2 ibp10u VSS VSS) tsmc18dN w=70.02u l=3u as=4.2012e-11 \
ad=4.2012e-11 ps=141.24u pd=141.24u m=1 region=sat
N4 (net4 vip net2 net2) tsmc18dN w=600.0000u l=1.8u as=3.6e-10 \
ad=3.6e-10 ps=1.2012m pd=1.2012m m=1 region=sat
P1 (net4 net4 VDD VDD) tsmc18dP w=180.00000u l=1.8u as=1.08e-10 \
ad=1.08e-10 ps=361.2u pd=361.2u m=1 region=sat
P0 (vout net4 VDD VDD) tsmc18dP w=180.00000u l=1.8u as=1.08e-10 \
ad=1.08e-10 ps=361.2u pd=361.2u m=1 region=sat
ends ota
// End of subcircuit definition.
// Library name: mp_4
// Cell name: dut
// View name: schematic
I0 (net6 net1 net4 net3 net2 net5) ota
| Tianle Sang & Beixiao Zhu |
YH_02 | 386 | Digital Hardware Design | Write a Vivado HLS-compatible C++ function called gemm that performs matrix multiplication C = A * B where A is of size M×K, B is of size K×N, and C is of size M×N. Set M = N = K = 1024. Implement tiling with block sizes TM = TN = TK = 128 to optimize data movement and reuse. Include the headers hls_stream.h, ap_int.h, and ap_axi_sdata.h for Vivado HLS compatibility. Use a tiled loop structure across the M, N, and K dimensions. For local storage, use on-chip buffers localA[TM][TK], localB[TK][TN], and localC[TM][TN]. Partition localA across dimension 2, localB across dimension 1, and localC fully across all dimensions, as required to achieve an initiation interval (II) of 1 in the innermost loops. Apply #pragma HLS PIPELINE II=1 to the inner loops to maximize throughput. Do not manually apply #pragma HLS UNROLL, because achieving II=1 with pipelining will automatically imply sufficient unrolling when the array partitioning is properly done. Assign appropriate Vivado HLS interface pragmas: use m_axi interfaces for A, B, and C arrays, each mapped to different memory bundles (gmem0, gmem1, gmem2 respectively), and assign s_axilite interfaces for A, B, C, and return control ports to allow CPU control. Insert a #pragma HLS DATAFLOW pragma to allow overlap of memory access and computation phases through streaming/pipelining. Function signature: void gemm(float A[M_SIZE][K_SIZE], float B[K_SIZE][N_SIZE], float C[M_SIZE][N_SIZE]). Optimize the model so that it maximizes the number of DSPs in the VCK5000. | Yingbing Huang, Greg Jun |
TB_01 | 2,136 | Analog Integrated Circuit Design | ## Task Description (FC‑OTA Sizing Only)
You are given a folded‑cascode OTA topology whose schematic has already been instantiated.
The full netlist is shown in the code block **<FC_OTA_TEMPLATE>** below, where every
MOSFET width/length placeholder appears as symbols `W0…W17`, `L0…L17`, etc.
The output should be only the FC_OTA_TEMPLATE format provided under.
### Task 1 — Device Sizing
**Process Rule**
All MOSFETs must instantiate **exactly** the foundry models
`tsmc18dP` (PMOS) and `tsmc18dN` (NMOS).
You **may only adjust** the parameters `W`, `L`, and `m` (multiplier).
Do **not** edit or override any other model settings, corners, or temperatures.
Replace every `W*`, `L*`, `R*`, and `M*` placeholder in the template with concrete numeric
values (µm or Ω) so that the design meets the required performance.
**Do not** change device connectivity, add/delete devices, or alter pin names.
### Task 2 — Performance Verification
Using the provided Cadence/SPECTRE testbench (unity‑gain buffer, CL = 1 pF, VDD = 1.4 V,
VCM = 600 mV), verify that the sized design satisfies:
| Spec | Target |
|------|--------|
| DC gain AV0 | ≥ 60 dB |
| Unity‑gain frequency fUGF | ≥ 50 MHz |
| Phase margin PM | ≥ 60° |
| DC input‑to‑output error \|vip,DC – vout,DC\| | ≤ 0.6 mV |
| Total DC current | ≤ 150 µA |
| Input common‑mode range (ICMR) | ≥ 600 mV* |
\*ICMR pass rule: for VCM ∈ {VSS, VSS+25 mV, …, VDD‑25 mV}, each point must achieve
AV0 ≥ 50 dB, fUGF ≥ 40 MHz, PM ≥ 45°, and \|vip,DC – vout,DC\| ≤ 1.8 mV.
---
### <FC_OTA_TEMPLATE>
```spice
// Library name: MP4
// Cell name: fc_ota
// View name: schematic
.subckt fc_ota VDD VSS ibn10u vin vip vout
* --- PMOS devices ---
P17 (BP2 ibn10u net22 VDD) tsmc18dP w=W17 l=L17 as=W17*2.5*(180n) ad=W17*2.5*(180n) ps=(2*W17)+(5*180n) pd=(2*W17)+(5*180n) m=M17 region=sat
P0 (net1 BP2 VDD VDD) tsmc18dP w=W0 l=L0 as=W0 *2.5*(180n) ad=W0 *2.5*(180n) ps=(2*W0 )+(5*180n) pd=(2*W0 )+(5*180n) m=M0 region=sat
P16 (net22 BP2 VDD VDD) tsmc18dP w=W16 l=L16 as=W16*2.5*(180n) ad=W16*2.5*(180n) ps=(2*W16)+(5*180n) pd=(2*W16)+(5*180n) m=M16 region=sat
P13 (BN2 ibn10u net18 VDD) tsmc18dP w=W13 l=L13 as=W13*2.5*(180n) ad=W13*2.5*(180n) ps=(2*W13)+(5*180n) pd=(2*W13)+(5*180n) m=M13 region=sat
P12 (net18 BP2 VDD VDD) tsmc18dP w=W12 l=L12 as=W12*2.5*(180n) ad=W12*2.5*(180n) ps=(2*W12)+(5*180n) pd=(2*W12)+(5*180n) m=M12 region=sat
P1 (net12 ibn10u net1 VDD)tsmc18dP w=W1 l=L1 as=W1 *2.5*(180n) ad=W1 *2.5*(180n) ps=(2*W1 )+(5*180n) pd=(2*W1 )+(5*180n) m=M1 region=sat
P3 (net3 vip net12 VDD) tsmc18dP w=W3 l=L3 as=W3 *2.5*(180n) ad=W3 *2.5*(180n) ps=(2*W3 )+(5*180n) pd=(2*W3 )+(5*180n) m=M3 region=sat
P2 (net4 vin net12 VDD) tsmc18dP w=W2 l=L2 as=W2 *2.5*(180n) ad=W2 *2.5*(180n) ps=(2*W2 )+(5*180n) pd=(2*W2 )+(5*180n) m=M2 region=sat
P7 (vout ibn10u net16 VDD)tsmc18dP w=W7 l=L7 as=W7 *2.5*(180n) ad=W7 *2.5*(180n) ps=(2*W7 )+(5*180n) pd=(2*W7 )+(5*180n) m=M7 region=sat
P6 (net2 ibn10u net13 VDD)tsmc18dP w=W6 l=L6 as=W6 *2.5*(180n) ad=W6 *2.5*(180n) ps=(2*W6 )+(5*180n) pd=(2*W6 )+(5*180n) m=M6 region=sat
P5 (net16 net2 VDD VDD) tsmc18dP w=W5 l=L5 as=W5 *2.5*(180n) ad=W5 *2.5*(180n) ps=(2*W5 )+(5*180n) pd=(2*W5 )+(5*180n) m=M5 region=sat
P4 (net13 net2 VDD VDD) tsmc18dP w=W4 l=L4 as=W4 *2.5*(180n) ad=W4 *2.5*(180n) ps=(2*W4 )+(5*180n) pd=(2*W4 )+(5*180n) m=M4 region=sat
* --- NMOS devices ---
N15 (net11 BN1 VSS VSS) tsmc18dN w=W15 l=L15 as=W15*2.5*(180n) ad=W15*2.5*(180n) ps=(2*W15)+(5*180n) pd=(2*W15)+(5*180n) m=M15 region=sat
N14 (BN1 BN2 net11 VSS) tsmc18dN w=W14 l=L14 as=W14*2.5*(180n) ad=W14*2.5*(180n) ps=(2*W14)+(5*180n) pd=(2*W14)+(5*180n) m=M14 region=sat
N11 (net4 BN1 VSS VSS) tsmc18dN w=W11 l=L11 as=W11*2.5*(180n) ad=W11*2.5*(180n) ps=(2*W11)+(5*180n) pd=(2*W11)+(5*180n) m=M11 region=sat
N10 (net3 BN1 VSS VSS) tsmc18dN w=W10 l=L10 as=W10*2.5*(180n) ad=W10*2.5*(180n) ps=(2*W10)+(5*180n) pd=(2*W10)+(5*180n) m=M10 region=sat
N9 (vout BN2 net4 VSS) tsmc18dN w=W9 l=L9 as=W9 *2.5*(180n) ad=W9 *2.5*(180n) ps=(2*W9 )+(5*180n) pd=(2*W9 )+(5*180n) m=M9 region=sat
N8 (net2 BN2 net3 VSS) tsmc18dN w=W8 l=L8 as=W8 *2.5*(180n) ad=W8 *2.5*(180n) ps=(2*W8 )+(5*180n) pd=(2*W8 )+(5*180n) m=M8 region=sat
* --- Passive devices ---
R1 (BP2 ibn10u) resistor r=R1 m=MR1
R0 (BN2 BN1) resistor r=R0 m=MR0
.ends fc_ota
// Top‑level instantiation
// Library name: MP4
// Cell name: dut
// View name: schematic
I1 (net1 net2 net5 net3 net4 net6) fc_ota
| Tianle Sang & Beixiao Zhu |
YH_04 | 206 | Digital Hardware Design | Write a synthesizable C function for matrix multiplication (GEMM) using Vitis HLS, with the following specifications:
• Function signature: void gemm(float A[M_SIZE][K_SIZE], float B[K_SIZE][N_SIZE], float C[M_SIZE][N_SIZE])
• Use #define for M_SIZE, N_SIZE, and K_SIZE as 256.
• Perform the matrix multiplication: C = A \times B
• Apply the following interface pragmas for HLS:
• Use AXI4 master interfaces (m_axi) for matrices A, B, and C (with separate bundles for each).
• Use an AXI4-Lite slave interface (s_axilite) for function control and return.
• Add #pragma HLS PIPELINE II=1 to pipeline the innermost loop for high throughput.
• Ensure the code is synthesizable with Vitis HLS and suitable for implementation on the VCK5000 board | Yingbing Huang, Greg Jun |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.